All the mail mirrored from lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2023-12-01 09:52:26 to 2023-12-01 10:39:09 UTC [more...]

[PATCH] watchdog: Correct watchdog timeout print message
 2023-12-01 10:38 UTC  (3+ messages)

[PATCH v7 00/12] wifi: mwifiex: added code to support host mlme
 2023-12-01 10:38 UTC  (15+ messages)
` [PATCH v7 02/12] wifi: mwifiex: fixed group rekey issue for WPA3
` [PATCH v7 03/12] wifi: mwifiex: fixed reassocation "
` [PATCH v7 04/12] wifi: mwifiex: fixed missing WMM IE for assoc req
` [PATCH v7 06/12] wifi: mwifiex: added mac address for AP config
` [PATCH v7 07/12] wifi: mwifiex: fixed TLV error for station add cmd
` [PATCH v7 08/12] wifi: mwifiex: fixed the way to handle assoc timeout
` [PATCH v7 09/12] wifi: mwifiex: fixed the way to handle link lost

[PATCH] dt-bindings: gpio: modepin: Describe label property
 2023-12-01 10:37 UTC  (5+ messages)

[igt-dev] [PATCH v5 00/21] uAPI Alignment - Cleanup and future proof
 2023-12-01 10:38 UTC  (7+ messages)
` [igt-dev] [PATCH v5 03/21] xe_ioctl: Rename *xe_bo_create_flags to simply xe_bo_create
` [igt-dev] [PATCH v5 06/21] drm-uapi/xe: Separate bo_create placement from flags
` [igt-dev] [PATCH v5 19/21] tests/intel/xe: Adjust to KMD uAPI changes for long-running VMs

RTT-PROBE: lore-subspace-rtt
 2023-12-01 10:38 UTC 

[PATCH 0/4] kdump: crashkernel reservation from CMA
 2023-12-01 10:37 UTC  (12+ messages)

[PATCH v2] powerpc/book3s/hash: Drop _PAGE_PRIVILEGED from PAGE_NONE
 2023-12-01 10:35 UTC  (2+ messages)

[PATCH 0/7] File abstractions needed by Rust Binder
 2023-12-01 10:36 UTC  (13+ messages)
` [PATCH 1/7] rust: file: add Rust abstraction for `struct file`

stable-rc/queue/5.4 build: 17 builds: 0 failed, 17 passed, 26 warnings (v5.4.262-49-g648b7b7184c37)
 2023-12-01 10:36 UTC 

[RFC/PATCH v0 00/12] Gunyah hypervisor support
 2023-12-01 10:35 UTC  (7+ messages)
` [RFC/PATCH v0 02/12] update-linux-headers: Include gunyah.h
` [RFC/PATCH v0 03/12] gunyah: Basic support

[PATCH net-next v6 0/4] skbuff: Optimize SKB coalescing for page pool
 2023-12-01 10:34 UTC  (9+ messages)
` [PATCH net-next v6 1/4] page_pool: Rename pp_frag_count to pp_ref_count
` [PATCH net-next v6 3/4] skbuff: Add a function to check if a page belongs to page_pool
` [PATCH net-next v6 4/4] skbuff: Optimization of SKB coalescing for page pool

Issues bringing up WCD9385 codec on SC7280/QCM6490
 2023-12-01 10:35 UTC 

[PATCH v5] accel/kvm: Turn DPRINTF macro use into tracepoints
 2023-12-01 10:34 UTC  (2+ messages)

[PATCH v2 00/21] Add support for the Mobileye EyeQ5 SoC
 2023-12-01 10:34 UTC  (4+ messages)
` [PATCH v2 20/21] MIPS: generic: Add support for Mobileye EyeQ5

[bug report] lockdep WARN at PCI device rescan
 2023-12-01 10:34 UTC  (10+ messages)

[v1] net/af_xdp: enable a sock path alongside use_cni
 2023-12-01 10:33 UTC  (9+ messages)

[PATCH v2] arm: apple: t602x: Add missing MMIO regions to memmap
 2023-12-01 10:33 UTC  (2+ messages)

[Buildroot] [git commit] package/zfs: bump version to 2.2.0
 2023-12-01 10:29 UTC 

[PATCH] locking: Document that mutex_unlock() is non-atomic
 2023-12-01 10:33 UTC  (5+ messages)
    ` [PATCH -v2] locking/mutex: "

[Buildroot] [git commit] package/zfs: bump version to 2.2.2
 2023-12-01 10:31 UTC 

[Buildroot] [PATCH] package/zfs: bump version to 2.2.2
 2023-12-01 10:32 UTC  (2+ messages)

[PATCH] version: 24.03-rc0
 2023-12-01 10:32 UTC  (4+ messages)

[PATCH v8 0/7] Add TPS6594 PMIC support on several boards
 2023-12-01 10:30 UTC  (6+ messages)
` [PATCH v8 5/7] arm64: dts: ti: k3-am69-sk: Add support for TPS6594 PMIC

[RFC] [PATCH 0/7] Several fixes around recipetool appendsrcfile(s) and oe.recipeutils.bbappend_recipe
 2023-12-01 10:31 UTC  (2+ messages)
` [OE-core] "

[PATCH net 0/2] There are some bugfix for the HNS ethernet driver
 2023-12-01 10:27 UTC  (3+ messages)
` [PATCH net 1/2] net: hns: fix wrong head when modify the tx feature when sending packets
` [PATCH net 2/2] net: hns: fix fake link up on xge port

[PATCH 0/2] docs: AM62x: Remove SW_PRNG Flag for OPTEE
 2023-12-01 10:29 UTC  (3+ messages)
` [PATCH 1/2] doc: board: beagle: am62x_beagleplay: Delete SW_PRNG flag "
` [PATCH 2/2] doc: board: ti: am62x_sk: Remove SW_PRNG Flag "

[PATCH v2 0/7] final fixes for 8.2
 2023-12-01 10:28 UTC  (9+ messages)
` [PATCH v2 4/7] tests/avocado: drop malta yamon tests
` [PATCH v2 5/7] tests/avocado: tag sbsa tests as tcg only
` [PATCH v2 6/7] gitlab: build the correct microblaze target
` [PATCH v2 7/7] gitlab: add optional job to run flaky avocado tests

[PATCH v5 00/17] Introduce PMF Smart PC Solution Builder Feature
 2023-12-01 10:29 UTC  (4+ messages)
` [PATCH v5 11/17] platform/x86/amd/pmf: Add capability to sideload of policy binary

INFORMAL VOTE REQUIRED - DOCUMENTATION WORDING
 2023-12-01 10:27 UTC  (3+ messages)

[PATCH v3] mmc: mtk-sd: Increase the verbosity of msdc_track_cmd_data
 2023-12-01 10:26 UTC  (2+ messages)

RTT-PROBE: lore-subspace-rtt
 2023-12-01 10:28 UTC 

[PATCH 2/7] rust: cred: add Rust abstraction for `struct cred`
 2023-12-01 10:27 UTC  (3+ messages)

[igt-dev] [PATCH i-g-t] tests/kms_writeback: fix kms_writeback failure for XRGB8888 only devices
 2023-12-01 10:27 UTC  (2+ messages)
` [igt-dev] ✗ Fi.CI.BAT: failure for "

[PATCH] USB: dwc2: write HCINT with INTMASK applied
 2023-12-01 10:26 UTC  (8+ messages)

stable-rc/queue/5.10 build: 19 builds: 0 failed, 19 passed, 5 warnings (v5.10.202-69-g560a93e9d1ce3)
 2023-12-01 10:26 UTC 

[PATCH 1/3] drm/amdgpu: Add NULL checks for function pointers
 2023-12-01 10:25 UTC  (3+ messages)
` [PATCH 3/3] drm/amdgpu: Avoid querying DRM MGCG status

[PATCH] drm/doc: Define KMS atomic state set
 2023-12-01 10:25 UTC  (11+ messages)

[igt-dev] [PATCH i-g-t] lib/amdgpu: add GFX1150 chips for IGT tests
 2023-12-01 10:24 UTC  (4+ messages)
` [igt-dev] ✗ Fi.CI.BAT: failure for "

[OE-core] [PATCH] gdb/systemd: enable minidebuginfo support conditionally
 2023-12-01 10:23 UTC  (5+ messages)

[PATCH v2] leds: trigger: netdev: fix RTNL handling to prevent potential deadlock
 2023-12-01 10:23 UTC 

[RFC] USB: check for transmissible packet sizes when matching endpoints
 2023-12-01 10:23 UTC  (2+ messages)

[PATCH v2] pwm: Stop referencing pwm->chip
 2023-12-01 10:22 UTC 

[PATCH v1 4/6] pps: generators: Add PPS Generator TIO Driver
 2023-12-01 10:22 UTC  (3+ messages)

jitterentropy vs. simulation
 2023-12-01 10:21 UTC  (2+ messages)

[PATCH v2 0/5] xen/x86: add testing for self modifying code and livepatch
 2023-12-01 10:21 UTC  (8+ messages)
` [PATCH v2 1/5] x86/livepatch: set function alignment to ensure minimal function size

[RFC PATCH] of/platform: Disable sysfb if a simple-framebuffer node is found
 2023-12-01 10:21 UTC  (8+ messages)

[PATCH] hwrng: stm32 - add missing clk_disable_unprepare() in stm32_rng_init()
 2023-12-01 10:18 UTC  (2+ messages)

[android-common:android12-kiwi-5.10 11165/30000] include/trace/hooks/pci.h:15:1: sparse: sparse: incorrect type in assignment (different address spaces)
 2023-12-01 10:19 UTC 

[Intel-xe] [PATCH v7] drm/i915: handle uncore spinlock when not available
 2023-12-01 10:19 UTC  (3+ messages)
` [Intel-gfx] "
` [Intel-xe] ✗ CI.Patch_applied: failure for drm/i915: handle uncore spinlock when not available (rev5)

[igt-dev] [PATCH v3 0/2] LNL_DC9
 2023-12-01 10:07 UTC  (3+ messages)
` [igt-dev] [PATCH v3 1/2] lib/intel_chipset: Add AT_LEAST_DISPLAY for display version compare
` [igt-dev] [PATCH v3 2/2] tests/intel/kms_pm_dc: Add LNL platform for dc9-dpms validation

[PATCH v2] powerpc/mm: Fix null-pointer dereference in pgtable_cache_add
 2023-12-01 10:17 UTC  (3+ messages)

RTT-PROBE: lore-subspace-rtt
 2023-12-01 10:18 UTC 

[Intel-xe] [PATCH v3 0/6] DSB refactoring
 2023-12-01 10:17 UTC  (2+ messages)
` [Intel-xe] ✓ CI.BAT: success for DSB refactoring (rev3)

[PATCH] xen/livepatch: make .livepatch.funcs read-only for in-tree tests
 2023-12-01 10:16 UTC 

[PATCH v2 00/29] tools: enable xenstore-stubdom to use 9pfs
 2023-12-01 10:14 UTC  (10+ messages)
` [PATCH v2 27/29] tools/xenstored: add helpers for filename handling

[PATCH 0/2] leds: qcom-lpg: Two cleanups
 2023-12-01 10:14 UTC  (2+ messages)

[PATCH v3 000/108] pwm: Fix lifetime issues for pwm_chips
 2023-12-01 10:14 UTC  (12+ messages)
` [PATCH v3 100/108] gpio: mvebu: Make use of devm_pwmchip_alloc() function

[LTP] [PATCH v3] getcwd01: Use syscall directly check invalid argument
 2023-12-01 10:14 UTC  (3+ messages)
` [LTP] [PATCH v4] "

[PATCHv4 net-next 00/10] Doc: update bridge doc
 2023-12-01 10:14 UTC  (11+ messages)
` [PATCHv4 net-next 01/10] docs: bridge: update doc format to rst
` [PATCHv4 net-next 02/10] net: bridge: add document for IFLA_BR enum
` [PATCHv4 net-next 03/10] net: bridge: add document for IFLA_BRPORT enum
` [PATCHv4 net-next 04/10] docs: bridge: Add kAPI/uAPI fields
` [PATCHv4 net-next 05/10] docs: bridge: add STP doc

[PATCH 0/3] crypto: hisilicon - some cleanups for hisilicon drivers
 2023-12-01 10:13 UTC  (2+ messages)

[PATCH][next] powerpc/crypto: Avoid -Wstringop-overflow warnings
 2023-12-01 10:12 UTC  (3+ messages)

[PATCH v2] crypto: hisilicon/zip - add zip comp high perf mode configuration
 2023-12-01 10:13 UTC  (2+ messages)

[PATCH] powerpc/irq: Allow softirq to hardirq stack transition
 2023-12-01 10:11 UTC  (4+ messages)

[PATCH] leds: trigger: netdev: fix RTNL handling to prevent potential deadlock
 2023-12-01 10:13 UTC  (3+ messages)

[PATCH] crypto: qat - add sysfs_added flag for rate limiting
 2023-12-01 10:12 UTC  (2+ messages)

[PATCH] crypto: qat - add sysfs_added flag for ras
 2023-12-01 10:12 UTC  (2+ messages)

[PATCH v2 00/16] Tidy up file permission hooks
 2023-12-01 10:11 UTC  (5+ messages)
` [PATCH v2 16/16] fs: create {sb,file}_write_not_started() helpers

[PATCH] pipe: wakeup wr_wait after setting max_usage
 2023-12-01 10:11 UTC 

[PATCH] hwrng: starfive - Fix dev_err_probe return error
 2023-12-01 10:11 UTC  (2+ messages)

[PATCH net-next v2 0/9] net: rswitch: Add jumbo frames support
 2023-12-01 10:11 UTC  (6+ messages)
` [PATCH net-next v2 1/9] net: rswitch: Drop unused argument/return value
` [PATCH net-next v2 8/9] net: rswitch: Add jumbo frames handling for TX

[PATCH] crypto: virtio-crypto: Handle dataq logic with tasklet
 2023-12-01 10:10 UTC  (2+ messages)

[PATCH v2 0/2] *** Add support for phyCORE-AM62x ***
 2023-12-01 10:10 UTC  (3+ messages)
` [PATCH v2 2/2] doc: board: phytec: Add phyCORE-AM62x

[PATCH v7 00/10] Enable HS-G5 support on SM8550
 2023-12-01 10:09 UTC  (3+ messages)

[PATCH v3 1/4] iommu/arm-smmu: introduction of ACTLR for custom prefetcher settings
 2023-12-01 10:09 UTC  (3+ messages)

[master] Pull request
 2023-12-01 10:09 UTC 

arch/mips/kernel/smp-cps.c:165:37: sparse: sparse: cast removes address space '__iomem' of expression
 2023-12-01 10:09 UTC 

[LTP] [PATCH v2] ioctl_loop06: Check for unsupported LOOP_SET_BLOCK_SIZE ioctl
 2023-12-01 10:09 UTC 

[PATCH v2] crypto: starfive - Pad adata with zeroes
 2023-12-01 10:08 UTC  (2+ messages)

[meta-oe][PATCH] libpwquality: upgrade 1.4.4 -> 1.4.5
 2023-12-01 10:08 UTC  (3+ messages)
` [oe] "

RTT-PROBE: lore-subspace-rtt
 2023-12-01 10:08 UTC 

stable-rc/queue/4.19 build: 19 builds: 3 failed, 16 passed, 20 warnings (v4.19.300-31-gd2f6ec4be6a2e)
 2023-12-01 10:07 UTC 

[GIT PULL] Pin control fixes for v6.7
 2023-12-01 10:06 UTC  (6+ messages)

[PATCH v2] net: stmmac: update Rx clk divider for 10M SGMII
 2023-12-01 10:05 UTC  (2+ messages)

[Buildroot] [PATCH 1/2] package/xtables-addons: drop unrecognized option
 2023-12-01 10:06 UTC  (4+ messages)
` [Buildroot] [PATCH 2/2] package/xtables-addons: bump to version 3.24

[Buildroot] [git commit] package/xtables-addons: bump to version 3.24
 2023-12-01 10:05 UTC 

[GIT PULL] ext2 fix for 6.7-rc4
 2023-12-01 10:06 UTC 

AUTOREV, PREMIRRORS and unavailable upstream
 2023-12-01 10:05 UTC  (4+ messages)
    ` [bitbake-devel] "

[PATCH 1/2] tee: optee: don't fail on services enumeration failure
 2023-12-01 10:05 UTC  (4+ messages)
` [PATCH 2/2] tee: optee: don't enumerate services if there ain't any

[PATCHv3] mmc: rpmb: fixes pause retune on all RPMB partitions
 2023-12-01 10:05 UTC 

[Buildroot] [PATCH 1/2] configs/raspberrypi: bump Linux version to 6.1.61
 2023-12-01 10:04 UTC  (4+ messages)
` [Buildroot] [PATCH 2/2] package/rpi-firmware: bump version to 83dafbc

[Buildroot] [git commit branch/next] package/xtables-addons: bump to version 3.24
 2023-12-01 10:02 UTC 

[PATCH 2/7] mm: shrinker: Add a .to_text() method for shrinkers
 2023-12-01 10:04 UTC  (11+ messages)

[Buildroot] [git commit branch/next] configs/raspberrypi: bump Linux version to 6.1.61
 2023-11-27 18:55 UTC 

[Buildroot] [git commit branch/next] package/rpi-firmware: bump version to 83dafbc
 2023-11-27 18:55 UTC 

[PATCH 0/7] fix resource leak problems
 2023-12-01 10:03 UTC  (6+ messages)
` [PATCH 5/7] net/nfp: fix resource leak for exit of CoreNIC firmware

[Buildroot] [git commit] package/xtables-addons: drop unrecognized option
 2023-12-01 10:01 UTC 

[PATCH v4 0/3] a couple of corrections to the IRQ enablement function
 2023-12-01  9:58 UTC  (7+ messages)
` [PATCH v4 1/3] s390/vfio-ap: unpin pages on gisc registration failure
` [PATCH v4 2/3] s390/vfio-ap: set status response code to 06 "
` [PATCH v4 3/3] s390/vfio-ap: improve reaction to response code 07 from PQAP(AQIC) command

[PATCHv2] mmc: rpmb: fixes pause retune on all RPMB partitions
 2023-12-01  9:58 UTC  (2+ messages)

[PATCH iwl-next] ice: ice_base.c: Add const modifier to params and vars
 2023-12-01  9:58 UTC  (3+ messages)
` [Intel-wired-lan] "

[linux-next:master 845/4419] sound/soc/amd/acp/acp70.c:217 acp70_pcm_resume() error: we previously assumed 'stream' could be null (see line 218)
 2023-12-01  9:57 UTC 

[PATCH] riscv: Avoid code duplication with generic bitops implementation
 2023-12-01  9:57 UTC 

[PATCH v3] riscv: errata: andes: Probe for IOCP only once in boot stage
 2023-12-01  9:57 UTC  (3+ messages)

[PATCH v11 00/10] spi: Add support for stacked/parallel memories
 2023-12-01  9:57 UTC  (5+ messages)
` [PATCH v11 01/10] mfd: tps6594: Use set/get APIs to access spi->chip_select
  ` (subset) "

RTT-PROBE: lore-subspace-rtt
 2023-12-01  9:58 UTC 

[PATCH] hwrng: core - fix task hung in hwrng_fillfn
 2023-12-01  9:56 UTC  (2+ messages)

[PATCH v6 0/9] Improve Exynos thermal driver
 2023-12-01  9:56 UTC  (20+ messages)
    ` [PATCH v6 1/9] thermal: exynos: remove an unnecessary field description
    ` [PATCH v6 2/9] thermal: exynos: drop id field
    ` [PATCH v6 3/9] thermal: exynos: switch from workqueue-driven interrupt handling to threaded interrupts
    ` [PATCH v6 4/9] thermal: exynos: handle devm_regulator_get_optional return value correctly
    ` [PATCH v6 5/9] thermal: exynos: simplify regulator (de)initialization
    ` [PATCH v6 6/9] thermal: exynos: stop using the threshold mechanism on Exynos 4210
    ` [PATCH v6 7/9] thermal: exynos: split initialization of TMU and the thermal zone
    ` [PATCH v6 8/9] thermal: exynos: use BIT wherever possible
    ` [PATCH v6 9/9] thermal: exynos: use set_trips

Perf support in CPython
 2023-12-01  9:57 UTC  (5+ messages)

[PATCH iwl-net v2 0/2] igc: ethtool: Check VLAN TCI mask
 2023-12-01  9:55 UTC  (3+ messages)
` [PATCH iwl-net v2 1/2] igc: Report VLAN EtherType matching back to user
  ` [EXT] "

[PATCH v2 0/2] Support fanotify FAN_REPORT_FID on all filesystems
 2023-12-01  9:56 UTC  (2+ messages)

[PATCH 0/4] fbdev: Remove global screen_info in efifb/vesafb
 2023-12-01  9:56 UTC  (5+ messages)
` [PATCH 2/4] fbdev/efifb: Use screen_info pointer from device

[PATCH bpf-next v4 0/3] Relax tracing prog recursive attach rules
 2023-12-01  9:55 UTC  (7+ messages)
` [PATCH bpf-next v4 1/3] bpf: "

[PATCH v2] thermal: Add support for device tree thermal zones consumers
 2023-12-01  9:52 UTC  (3+ messages)

[PATCH v3 0/2] Add DSS support for TI AM62A7 SoC
 2023-12-01  9:52 UTC  (3+ messages)


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.