From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.3 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id A2DE5C47082 for ; Thu, 3 Jun 2021 09:35:38 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 83ACF613E7 for ; Thu, 3 Jun 2021 09:35:38 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S229833AbhFCJhV (ORCPT ); Thu, 3 Jun 2021 05:37:21 -0400 Received: from mga05.intel.com ([192.55.52.43]:6589 "EHLO mga05.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S229641AbhFCJhU (ORCPT ); Thu, 3 Jun 2021 05:37:20 -0400 IronPort-SDR: 5mAlhJb/Lb9BW7SbXRGwa7OHGCWnNcFFj7tGHEL/9vcZZse8++Rilb5u6r6qZyK8+S/Yn2BvwI c+FCU0xsaMGQ== X-IronPort-AV: E=McAfee;i="6200,9189,10003"; a="289635706" X-IronPort-AV: E=Sophos;i="5.83,244,1616482800"; d="gz'50?scan'50,208,50";a="289635706" Received: from orsmga004.jf.intel.com ([10.7.209.38]) by fmsmga105.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 03 Jun 2021 02:35:29 -0700 IronPort-SDR: nwKWgds5UcsoNqM2elic95C+ZTOmmXzWujUuOoPDo0D5cG1TYPgW3Y4/GDKZrg3qU89wHrmG5E Im0uU6d2DWyg== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.83,244,1616482800"; d="gz'50?scan'50,208,50";a="549871262" Received: from lkp-server02.sh.intel.com (HELO 1ec8406c5392) ([10.239.97.151]) by orsmga004.jf.intel.com with ESMTP; 03 Jun 2021 02:35:26 -0700 Received: from kbuild by 1ec8406c5392 with local (Exim 4.92) (envelope-from ) id 1lojl7-00068q-Im; Thu, 03 Jun 2021 09:35:25 +0000 Date: Thu, 3 Jun 2021 17:34:57 +0800 From: kernel test robot To: Damien Le Moal Cc: kbuild-all@lists.01.org, clang-built-linux@googlegroups.com, linux-kernel@vger.kernel.org, Palmer Dabbelt , Stephen Boyd Subject: drivers/clk/clk-k210.c:717:6: warning: variable 'reg' set but not used Message-ID: <202106031749.dSbBjn30-lkp@intel.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="EVF5PPMfhYS0aIcm" Content-Disposition: inline User-Agent: Mutt/1.10.1 (2018-07-13) Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --EVF5PPMfhYS0aIcm Content-Type: text/plain; charset=us-ascii Content-Disposition: inline tree: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git master head: 324c92e5e0ee0e993bdb106fac407846ed677f6b commit: c6ca7616f7d5c2ce166280107ba74db1d528fcb7 clk: Add RISC-V Canaan Kendryte K210 clock driver date: 3 months ago config: riscv-randconfig-r033-20210603 (attached as .config) compiler: clang version 13.0.0 (https://github.com/llvm/llvm-project d8e0ae9a76a62bdc6117630d59bf9967ac9bb4ea) reproduce (this is a W=1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install riscv cross compiling tool for clang build # apt-get install binutils-riscv64-linux-gnu # https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git/commit/?id=c6ca7616f7d5c2ce166280107ba74db1d528fcb7 git remote add linus https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git git fetch --no-tags linus master git checkout c6ca7616f7d5c2ce166280107ba74db1d528fcb7 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=clang make.cross ARCH=riscv If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:556:9: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inb(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:55:76: note: expanded from macro 'inb' #define inb(c) ({ u8 __v; __io_pbr(); __v = readb_cpu((void*)(PCI_IOBASE + (c))); __io_par(__v); __v; }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:87:48: note: expanded from macro 'readb_cpu' #define readb_cpu(c) ({ u8 __r = __raw_readb(c); __r; }) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:564:9: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inw(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:56:76: note: expanded from macro 'inw' #define inw(c) ({ u16 __v; __io_pbr(); __v = readw_cpu((void*)(PCI_IOBASE + (c))); __io_par(__v); __v; }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:88:76: note: expanded from macro 'readw_cpu' #define readw_cpu(c) ({ u16 __r = le16_to_cpu((__force __le16)__raw_readw(c)); __r; }) ^ include/uapi/linux/byteorder/little_endian.h:36:51: note: expanded from macro '__le16_to_cpu' #define __le16_to_cpu(x) ((__force __u16)(__le16)(x)) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:572:9: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inl(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:57:76: note: expanded from macro 'inl' #define inl(c) ({ u32 __v; __io_pbr(); __v = readl_cpu((void*)(PCI_IOBASE + (c))); __io_par(__v); __v; }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:89:76: note: expanded from macro 'readl_cpu' #define readl_cpu(c) ({ u32 __r = le32_to_cpu((__force __le32)__raw_readl(c)); __r; }) ^ include/uapi/linux/byteorder/little_endian.h:34:51: note: expanded from macro '__le32_to_cpu' #define __le32_to_cpu(x) ((__force __u32)(__le32)(x)) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:580:2: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outb(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:59:68: note: expanded from macro 'outb' #define outb(v,c) ({ __io_pbw(); writeb_cpu((v),(void*)(PCI_IOBASE + (c))); __io_paw(); }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:91:52: note: expanded from macro 'writeb_cpu' #define writeb_cpu(v, c) ((void)__raw_writeb((v), (c))) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:588:2: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outw(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:60:68: note: expanded from macro 'outw' #define outw(v,c) ({ __io_pbw(); writew_cpu((v),(void*)(PCI_IOBASE + (c))); __io_paw(); }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:92:76: note: expanded from macro 'writew_cpu' #define writew_cpu(v, c) ((void)__raw_writew((__force u16)cpu_to_le16(v), (c))) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:596:2: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outl(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:61:68: note: expanded from macro 'outl' #define outl(v,c) ({ __io_pbw(); writel_cpu((v),(void*)(PCI_IOBASE + (c))); __io_paw(); }) ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:93:76: note: expanded from macro 'writel_cpu' #define writel_cpu(v, c) ((void)__raw_writel((__force u32)cpu_to_le32(v), (c))) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:1005:55: warning: performing pointer arithmetic on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return (port > MMIO_UPPER_LIMIT) ? NULL : PCI_IOBASE + port; ~~~~~~~~~~ ^ >> drivers/clk/clk-k210.c:717:6: warning: variable 'reg' set but not used [-Wunused-but-set-variable] u32 reg; ^ 8 warnings generated. vim +/reg +717 drivers/clk/clk-k210.c 710 711 static int k210_clk_set_parent(struct clk_hw *hw, u8 index) 712 { 713 struct k210_clk *kclk = to_k210_clk(hw); 714 struct k210_sysclk *ksc = kclk->ksc; 715 struct k210_clk_cfg *cfg = &k210_clk_cfgs[kclk->id]; 716 unsigned long flags; > 717 u32 reg; 718 719 spin_lock_irqsave(&ksc->clk_lock, flags); 720 reg = readl(ksc->regs + cfg->mux_reg); 721 if (index) 722 reg |= BIT(cfg->mux_bit); 723 else 724 reg &= ~BIT(cfg->mux_bit); 725 spin_unlock_irqrestore(&ksc->clk_lock, flags); 726 727 return 0; 728 } 729 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --EVF5PPMfhYS0aIcm Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICIyauGAAAy5jb25maWcAjDxLd9s4r/v5FT6dzXcXM42TttN+92RBSZTMsV4hKeex0XFT t5M7SZzjOPP49xegXiQFKckiiQAQBEESL1L6+aefF+zluH/YHu9ut/f3/y5+7B53h+1x923x /e5+97+LqFjkhV7wSOhfgTi9e3z55/3h7vn2r8XHX5fLX09+OdyeLta7w+PufhHuH7/f/XiB 9nf7x59+/iks8lgkdRjWGy6VKPJa8yt9/u72fvv4Y/HX7vAMdIvl2a8nv54s/vPj7vjf9+/h 98Pd4bA/vL+//+uhfjrs/293e1x8+7w72e6+bH/7tP10+vXb7afl8rdPZyffPn75+v3Ll0+/ bW+/fP36Ybf9n3ddr8nQ7flJB0yjMQzohKrDlOXJ+b8WIQDTNBpAhqJvvjw7gZ+e3GLsYoD7 iqmaqaxOCl1Y7FxEXVS6rDSJF3kqcm6hilxpWYW6kGqACnlRXxZyPUD0SnIGA8njAn7VmilE wsT8vEjMPN8vnnfHl6dhqgJZrHlew0yprLRY50LXPN/UTMJYRSb0+dkpcOnlyUqRcphdpRd3 z4vH/REZ98opQpZ22nn3jgLXrLJ1E1QCFKpYqi36iMesSrURhgCvCqVzlvHzd/953D/uYCH0 8qlrtRFlaIvW48pCias6u6h4xQnZL5kOV7XBDuJViqciGJ5ZBdtjeFyxDQdNQTuDgN5hoKlH PkDNfMDkLZ5fvj7/+3zcPQzzkfCcSxGauVWr4tKd7ajImMhdmBIZRVSvBJco0/WA7ZhnSiDl JGLUz4rlEUx3y9lpqkomFW9hvZLtYUQ8qJJYuZOxe/y22H/3tEApIYOpFq0A0lIpajuEFbVW RSVD3iyS0YAMBd/wXKtO8fruAewQpXstwjXsBA56t1jlRb26wRWfFbk9RACW0EcRiZBYRk0r AUJ7nBwWIlnVkivoOYNtQWpoJG7HrZScZ6UGrsZSDOu7hW+KtMo1k9f0LmiobJzRTlhW7/X2 +c/FEfpdbEGG5+P2+LzY3t7uXx6Pd48/PH1Bg5qFYQF9CWNP+y42QmoPjfNCioMzjUqwaGmx lSC19AaxzfBkWC0UNfP5dQ24Ya7goeZXMMHWSlAOhWnjgcDeKtO0XX8EagSqIk7BtWThPKI2 tj4LjM5bPbjj663CuvnHshPrFTTmtjdJCzTDMVgdEevz5W/DOhO5XoNtjrlPc+ZvNxWueNRs um67qds/dt9e7neHxffd9vhy2D0bcCsvgfXcIXS+PP1sucJEFlVpyV2yhNdm0dj2IeNZmHiP 9Rr+2Cs0SNctP2IHN4hmSAOjmAlZu5jBK8aqDsBQXYpIr8jlCxvCakuStN2WIlJzeBllbA4f w/6+4XJ6XBHfiNAxHC0CthJsQsqttwRBGfvT0dh4a6cU4bpHMc0s+hUP12UBs4qGD+IZyz42 qwcDA9PSlg2cJyg34mC2QqZd1XW65SmzfB3OLYzR+AVpTaB5ZhlwaxwHBhbD/ER1ciNKeu6i OgDcKdV1VKc3GbMFBtDVzRRp4VGmNx+murxRmhpsUBS69jc17JiiBCsqbngdFxLdE/zJWO7N s0em4B+iC4xXdApGMORAjaE8Gh5LwfYy6E1l34vx2xA3SXJkKuE6A3NWt1ERTWQmfY4ibuKC mThv7Fkds+ZYg4r2OQGD+CauXBE6ASpIcCzrgI+wd73QsAGHWXkVrqz54mVhR4lKJDlLY2ut GuFtgIlkYsfoMFHQHrWoK+m50a5JtBEwpFaxyrGSAZNS2IZ0jSTXmRpDaifG7aFGXbgZtdi4 y6UeQuBBuQCGTZ0WjFrmIA+PItv+Gn3iwq77qK6b0HB58qHzOm1+Wu4O3/eHh+3j7W7B/9o9 QkTAwPGEGBNAVNVEPG3zgScZYbyRoxX+ZA27zjfR5lylVdBYz2l047ya3VDQ8RMmZExDNree YMMCaosDd8fKpgVNxlAICX62zb3cRoBFd5MKBUYdNmSRTTHpyVZMRhAzWBOrVlUcQ45h3Dks EsgVwTtYazNjpYFf1lWORlywFAyXzeFaaZ4Zf4OpuYgFsBBu0F7KIhbpKLps59jNkTu+nz4E dmohhQo3XiJihJM5eAjI8+oMUqfl5zkCdnV++sFhWGd1VkSOnc6yitDjDYT7NTj/s9NBhg0z fM/PvgxBUQP5+MkJk4o4Vlyfn/zz+aT5cYSMYc/CWoO8nwV23mKQlwyWsonzWFqvKrDfaeCR qKosCwnjq0DNAbf2ptIsXDeBa0tkGTkDhgQAuk/UGN+ncjDfgQTnD4vQ8fQ9gaqyMXR1ySHL sviVicbh1SnsTjB+fQyLQSsEGJYATfy6D0H997tbt8QFEQ4Y7LgxccNeACimPdT6L3AIOWO5 36CB1uvT5Ukd6cDUQiC5IFeoK40RsLzfHtEkLY7/Pu1si2bmRG7OTgXlAxrkpw+WrwpxBaaw 06PUFB4G69IjWH5NMIP1XK6uFa6d08S1KBkVWusK1vCQIvXUeeUGtc4GEYrVDnVcVqSOXIXY 7sDJQDqHclMvT06IXgFx+vHEy/jPXFKPC83mHNiM/MiQ7qAswR4a7Z9wUp+tMmoWmTKgiVDb 5g5lM//7vyF9Ao+0/bF7AIc05lM6SU+Zjf3NgApTJya6vIA46pLLmsdgTgW6s9YF0KqfksVI Gt8dHv7eHnaL6HD3l+d+YyGzSyY5OjuwlYRwSVEksG07QmunN4gQcgkTFmvXerVodKJFropZ VM/EqWU1VJvSiVCaWtLux2G7+N6N65sZl53fThB06JFGnFLt9nD7x90R9joslF++7Z6gkTu/ vYi/V1lZg4PlVIxqQibjxMB/QoyJOVYYcmXZZ0Oy9q12A5Vc04gGiqXj2EsN2qA3D03ewKWE LEPkv/Owdcc2mTFEpv2qKNZj+w0WxJTR2tq253KwQgAeDaewkNcTyEhA1gg0rPT7VsbrtiVp f4CSgzuCcKbxXq3OajaK7k3kiMQUHDOglkFUZSMBUMxh8uaxRFA9kCkeYgw4g4KdkzYlksE6 N5ipNWPEhlnWPGxCsaGhg5lqD//j8Y+Z3bWwD1sMeqI+6FERlUEqMsGIBOwXTNbKMQ5hivFS AAKA0YiU49WamPnsFHcGdjHlJguT/0LYs+Yyx3m4vKICYQwg7JBfjcxFEhabX75un3ffFn82 buDpsP9+d+9UVZGo7YlYzwbbRLG89nIpH0fHuDMyOIrH47QyrRKRK7vC+Ebj1Ptu2HeY/drm w2SIKkPpl1a4W0RVyqlUPWjrif3julahErAfLiqutIvBmk+gEhLonOEMBSLNEyk0WTtqUbVe nozRGIpHLrh12bU5FHG2DGIvA01GDw1D8Ml1TI8fBgxWuyhZ6nbXnBNCwB7K69LPdUiCOga1 43YYLc5yezje4eQtNMRNdvjApBamLYs2WEyyjTA4zHygcAoTLqoOIbjL6ZqlT8q5Kq6o3ejR iVDN9cgi/9RpgtCEOGDL3kSM0aggpRNXtCYKFQ8Iuo9MJOw1Gs2keIUmY+FrFCoq1Cs0aZS9 QqGS1yQB2yhtfdBsqpymaPFrJjNG65THExIMxYDNp89OWzeq9th2Aay3CezNlkEsHAp3AwIM 3ZgoRmAs0LtAE4Y3Z7/FcPBhbTRoJYqmCB5BqONeAbCQ6+vAtS0dIogv6FNWp79+vap8OfDH oorRiypFDk+uzXXze6aLDEIzCMQJD5VlorgMuqHyf3a3L8ft1/uduV+yMMWzozXoQORxpsGg S1Fqgl2Lx9qEY9wGMGUxG2wGW9X1+JJjFEYqaUpSM4xs97A//LvIZrKstnpiFYMBUOeQOWN0 6kafqkwh5ii1CRkgBFLnX8yPU6yRHLXtxDuYZYNZi2St/bJUXmRZVbeFMQi5RGZK9xDlL3sS DmsEolITdK0tScOUg11nsIYG2E1ZFJa3uQkqy+zfnMWFfVOmC9Y5k+k1LEfJneHGkkEAt+nC yG4wXKIgEEvaZdykKs21EmIplBpXJw8FS+09Oz03w8BtRXG8UZJIJwFCIPdgah2ACjXPu+TA rIR8d/x7f/gTwiUi0Qa/anfVPEP+wRJno125T7D4Mw/SNulXrk6pwOAqllZDfIKwL3FOlwzQ P4axcaoKwP+lIrz2OIFLwmqbz3/lAUTp5h2oyTW/HgHG/FQWOg+jQV9FpTlJ5JoavHBmVZTN iVPIlGMnAN5FLbUsIBKlFAFEBoc3vpQSkcegzKkUyayjUnhDFyWsIjDfWXXlI7Ds1QT1Q8Gr a0ELlbVSdXdPfIwvp8ggod0s6fOgHk+dYKprCNAg/xZc+WJvtHBBVWQNxYLHRTUCDMO2760h 0iykQTqzARWlZ9GI4a4yAzTrz5fEYMaaNmBcYFQ5MiwxV03s+HbYeR0yENQ9nx4dVoFz36OD X4ItuyyKiECt4D8KrBr4WITVdZDSEXRPsuEJo3ZLT5BvSNZ4MId1s3nuKVnNHfrOC5L5NWer uYYihcijEIpQRhTSSgqjhIAGgeVfunuC3cz0cvUXCKEdOd6OAAc0S9BN7iyRGcIsBQxmFg/D msVLT04P3ann/N3ty9e723fuDGXRRyXo/mHzf6LK/aUzJ+axXld4ZRQ9t/IsHF5RxVJTxtzT SctSlLpsbW/seg7TFqIeU5+BSCErnXgIKPqqlg8istVAiggCK7tVU0XdH3bo2CHuO+4OU7ea B85U+NCi4D9Yy2sKFUOWB9FRI8RMW6x06Tm8dzl1TJAWlI56NOSjFjrGtZGbGp0Dxbte41uP LQJYRXxDz2bbG3I1dTC6rxqXg8d5QGJphc7dHTLqvJ6iw9UDDpOS1yczi2xCZFOGVb7QGmtu uoB9HpJxgkWSSD7VWoX6tdbgeCFt4BPCsYzlEZtAxnpqTKuz07PJaRCSvNtqkwSyYFEbdVF4 WBAB5Kd1PtJbT6Jysgbt0JSlLqcZsJyKoFwaMaUBPVKOtraxbcm8fZSkFQRnE6sqZ65G4Jma IgT7/SPMFxdhI0ERCBmtOd4YITKmwFBIFpGmAgI+WFRX104zc3Lmz1MDnNnxscaD26ZybzXU U6rpb8TYfZvbbHnzpoHHBuzNBJ+O3ALhqH0GRkUTRkKjribYj4JcgBXB75LHLsy3xwZUaOaC JP/dmybdHkH48kKuS4VLiIrtCjYC3BQQIU3G5PMEmz81ytE60PbqsJdCjLdvtB8mjtbWVb+O jHe9MkWV58Xt/uHr3ePu2+Jhj5WoZ8qzXunOL1AoXCIt2uF83B5+7I7OiajTTjOZYMqBr2G8 InlHa0Ujszw7kd7IdjUzupYEDzvNxb/Xuk4nbhCTtPQeIihnBHRNGtE2x0ud5Ss0cROdzIqb x1Ov51DUWFZwKkYk0dhOkqOfM5oDHXT4CkFYZmq0BR62x9s/dtMLNTMvH2GBT1+Xrw6/oW7u 4c7xm7ziTtGmlZqIpwcaiAp5PqXJjibPg2vNySDZofJOvqeoRp6BpvP34mv0JgR4o2rK6hUB /AhwlpZvRtMyS6/o6HJMycN8Vp1cTW3RBo/ux/esBBVPyxkb1RJR91EIOqKIOCaRLE/4Kx1C xkpVPwjK9NQLKAkSnicTL3JQ1KiytxJnjAysKcJJc9wSYNXTvTFJUOXxVFLZk7hZIYG/zF+d 76aQ/LaR4VkGbILZPsu1RkP4Sq8m2Hpbp/OuoqXhLM1eoQhfs3+Yzs0TFO5ZAUWinXr5BIVQ 2rtFSdFNvApA0Paea46hf11mjrY6O3VJu3f25qouTuFacSpsA8TGrirjo/8iUgOEeLS5Dr08 bQ9g0UwcD9vH56f94Yg3YI772/394n6//bb4ur3fPt7iAc/zyxPibXfdMGzycU1aZJsCMnav Rt+jvGI3SUNWTW2CdpUNY3rujnmH4Lqhl3IsyKWcOAwBXBr6SrxMwzGLeOLlE4MsNlTO0fIP xj0gjBAzmtaCWvk8stWYgZoIlBts7pyZD6qEHGxSm2o1rKnPVptspk3WtBF5xK/chbh9erq/ uzXrfvHH7v5p3DaPQ+2PtORtkaBl9N83VDBjrAlLZiq7H5zUvzFHY3iTHRLwpirgw7s0l0BE VUlAIaHtoETRgcmJwhDFzBQmoQVRrkRSmtGEuKaC0tacXKBfL4PJAIwoG4mn1hmQgGQUTXdF Y2b+zARHPHzcHd8wyUCYmxSqTiQLqpR1dzfbnl5jNJ7kUcU61l0pPeOaEfpusK+XDl2+XXk+ rnkwLkW1WEBhzbHSFHuLRg/3XSmkk8tamM8np/UZiWFZ4b7fbuPIdWoRiHKi5ShqHJO4IaCF GKKjMU7pqR43KaMuTLmjkbxMr0nGUe5dxHEFrV8ZzDgNt4We5k0XMCyCUV0B8mKzAGjngala ZztN2haGInoe7Sl7DyNZjWSnMy/s2XRn5E6f7G2QpX1HZLW9/dO5Gtwx74Icl6fXymrUhgnD vTt4Hg4fzbE/VqZCPAukLgdMkasVW76JL36jgr4BiC3eKAHRc4tuLnYPD97lO4R4R+oImnoL BtIp+yAfnvDNJ8Fq4bzaZCEgzKPPzZHE3LylwySDn7iUwLSVg8BDHab2kUAHwY+KiDDzMLDF uQvJysIx1AgL5Omnz5Rf9HNjfKY/42ITbM6ombNdaCZLf9+P7IBIMliweVGUgjS3aL5a006n NJ1nkpQBDGPq3VRz391cEFF20X4CAG4xQT+xdA8aLCSTX87OlpT7s4gCGWajqz0+wTQG35ou eR7RFCueQizN+ZpGJ+rSv7jUofDvnFSTGuGTmExPiLFWNzRC6vRDzabUW4Q8LSYK+T3RRTgh DayfL2cnZzRS/c6Wy5OPNBKiFuF8hMisxdFKGKB1siEDA4si29h7oond/Of24toATt1UDB5P yW3JNEvpaujV6Ud6I7MyIBHlCkw4Xd4SnHMczEcyxOa6/1CKcXAXL7uXHfin9+2NZMfBtdR1 GFy4U4DAlQ78FWHAsZqopRm0Y0c7YCntG9sd1JTSiI4lj8ZAFZPSKPcKtofV/GJ0/8/AA/J0 rteGX44xYK7nGmlGDzKR7v22Dh6pmfs5SAB/OaHKSEpCkxd052odtIhR/+GqWE+WlAzFxaxq Q3xFedxjfNFjxl2y9cQZS9uUXG6rOa2XgpMykPDhGtGoF3zxalYZfOraSzct47d0m3D2fvv8 fPe9LTe4Oy9MvcufAMCXtex7jR1Yh00hY4QwturDGB5f+uNE6Kg26HNTm8kTkp6AuqPWd5va 39DroGH3gSF/sKOztJ7JxIdsOhJT6mTkN2KQhBu82yHva7jhGr+uOEaF/sXqFm5O1khMZX8W woKP8vQBha9oTg6tE4Tlgvo+i0XivL3RaYV5Zw4IaA4J+BieONQJa+49BWPCTMiRSUa4YlmZ Eowb0ZxxIXji4kcnJY9GB45NL8J/gcQnWAd88qKBoXC/VNEPq/T3H0IxVhhDnY8v9WyH6xE+ RuMnQQmNrYOsIDQpYkKNzXWY8eX+Zu78BQksDPuRPC1i7CBaxGBZHM3qsHshY85kC3uUUWgt nihX+MWxAj9A6iQGECAw82ojwbeACHsDsXKzd4cIb/qthLy9G+a+8zGeWoRAGO6pILcL2ivl e1YjiH9mVqdneG0Bj1waVC/mhdRU9cN0FCr7Vj++TVvwDN+Nq5trEO57U82X3LAhThvB06IY 3qGw+pNXdVCp69r94lVwYT/gB6K05Cwb3p6137hZHHfP7fcn+7rHCOUh7Ld0huGsWCZZRI/D 3mzwUEv2/5xd23PbOK//V/x0Znfm66nt+PrQB1oXW41uEWVb6YsmTbzbzKZJp0m/7f73ByAl mSBBd+c89GIAIimSIgEQ/OFICZuAoGkgaXvkS2o/TtZXa1s6kQWN9NT7sshH4em/j/cmOobx 1MFp2aFxSDJ1SGS2ICEQaYAHFwgARw1s5MZphMV63mdbOeV/FPmnNoH/XdlFXR8EYh+UQRLF HqhDbE7rry4IlsuxXawiIhjMpYdAfxI1Yt/RxiZxgv9SADVkZBdaUUbiunsLq7fBVhyPx5QY ZbK7JUr7dTVZjPmLObSvftEIu9yhcZwJpATSht5aNVqOvWgX2LP6DvQUK4uY3kzUcw2vsGtg SYIZwEzu4aunDl/07Ucht2qhD9j0Fqn4N2k9nMnYq86g7/qCCwvdz1EaexCtgRtHot6ru13a +aqBe55+nN5eXt6+jB70+z240Dbw7C5I9oKFhdLMw45cLIYXqQ7kjqO3nv4ZEcMaW5mOy57S Ia+0aWHeWBi41oF51VwTkJW4vTa9UPYC3ZHxFK/ap2a01zGpopRckugpuIcb1EgFdpqYHYok y1uLAlsP2d6CeItuB87PliYbxTJcJh1Fu2OhwNLLC4jabTHr64RjkkkxNOz5dHp4Hb29jD6f YCAxBPABrxWPYHtVAsbV746C1oAKwEJMLYXM+WFseK3j68RrYqwtW2FdOlfTO3LnHSfq1br0 +sMDkcR0mUjiCycgip37osUVdy8NxSyISgyeIt6UnoZOzrq+9basF9vLyKvg5TG3NpacsaDV 3rMz7KhVXubxUMI8oRemQQOCBqW2oqegcDNJL+TgImnfL4hFkhYHj5kZ1bu6KNJevXTUB5/q oIGIzMXF/tGBukuWyIG04W6D8Wagz/GeQuALWWZeZlvW3LaC1WbSapwPkL7nadzCDtJEWs1s b/ZJde1t5YU5jFwMA0uLoENDVLDEXllZ7zngTGQprXa/oU0XtdXfSXGwGw/Lna9IQfRr1acw GhpWr4hje7QUk4Vts4UQ5PuyhIEp/CvBqJriX6zYDnTgdL+1NR4NRAO0+5fnt+8vTwgMzuyo 2AlxDX9PPHB8KIDpIfr5y3dk1DaIBdr063Z4en388/mIeGzYBhWfJocQMPO58Gh1f3hU9bnU MhUeqvtAm8HyReBILrVI41K8fIbeeXxC9slu8RkhwS+lu/Xu4YSAtop97vpXLgBOvUIgwghm wPk9vKPwcTmdRIxIrxn+suYBmYWfFcOMiZ4fvr08Pttthc83VHDjbPXkwaGo178f3+6/8HPQ /OiPnUVeR4E5aJeLMFsXiIpFMhdlEpr7dkdow0QG/V3GD1emYtAJdGsVWNs1qP2I1eIv3tH8 hjL22eD1dWoIdpngwXx6iQzrbQNr+9fZF+6+PT4gGI3uHadX+yJqmcyXDVt9KdumuVg/PrxY XXhvLAPWhSlXftUoHh+54Wn+GbPx8b7bgUeFDQ2y18BwQ9Q8R4aFvd4RPHroxDorPRYLqIp5 KNKCvfsIipMqecDVVBl2+pVuwJ3EgFcz3DA+tgjFbTYRVO9KnGE3zeYN0hrmUL8F29azJAb6 46kH28N2uwb9Xyjg0cOA4GNYKAoSmuf5qMpMBWuCKoqD+Vp54vi0AFqI3dOgI2SgsnHdn7U3 hTQuxZ+r17SugDKyuFW0JVeo9O82mQYOTaZJBjutQ0cAJrcAM2dPX0BAHKSZ0OCJIab4iK2+ AWaslnwF1ckOnucT0Hbyj9fOcjW+CfSkI+J31pLXyHZJRxiq70heE6Xn47Jsqqy99WzUPtgO Baj2FiBRhepeDys/VL7NJbeMZvXwOZ1Rw77dfX+1lBWQAzV1qfDG+HmFEiYqmV+qiF0Bgw1j pxBwlYzRoQZLR98V1W2HqvhuQmsgRbT7vENiZzNuuPKI0Frk6S3ZDp3OUb2zh/+CYqJugioI /RrD8Z90FHR69w9FRoOaNuk1fJt0WihywV7gGnhtRc6b45pPJZFbjI6cIN34cuKwJQQp45Bs lDLzVqFGsCh9ozcA0sEXqB36/fyqRPa+KrL38dPdKygVXx6/uXunmkRxQkf9YxRGgcLppXT4 RtqeTKdhnOBRSAd56mspLh4bkV+3KtNNO6GFW9zpRe6McrH+ZMLQplxLEZ8z5U+EhpfJwFQP uYdh++R8yD17Xyep9QmJzCIUFkFspL4XdFYZ/COn9fe7b9/wkKIjKu+Qkrq7h8XKHt4C18sG uxCD46wvXCGwm1uHQTxfNGd40BGVkROAE0kjI32iycCRVAP5YUp7uBcoYu+n0ItsS1C88M6r XzJIvLx9AOvmnsPjRK6aIe2hanOSSwLLBNNFj+jZTPrFYOh8AKenP96hXn+n7rJDUa7r16wm C+bziT3/NBUzzsQslKghY2npyJFp33DSm0D0fa91aE9e+N3WRS1S7WScjdcLixtVCqYXuZPp ill0p1ntIqKHj69/vSue3wXYbz6vFBYRFsHWDLTX93FBtco+TGYutf4wOw/Ur8dAHxyCfkwr RYrlbFaLch4hhyXqVCK37bEi0CumhJPszWQWdckzpg0uwVt3VRHHtmtNZzT9/R52zjuwIp/U K43+0IvJ2VpmXhLsc5Fau4HBoG5AmxnWDC8QccSQs8Z+c90nJQ3zGhjcwZIr1TkYPPNZiQiY n+Zp5MDQ33y6HeBWs8fXe6aL8C+dPNOtH4az4G/+nvsqkddFHuwS9zA3CgKYqn/C5DQ8KPbz IMQ0HqjoWNiJzD6e9YggcuKlbuqkN10EQw92yrRwODvHz0a9R1riqvw/+t/pqAyy0VcNtsmu dUqMvtONSkV7VjW6Kn5dsFnIfmPNVSC0x1RlBJA7BCK1li8lsIk2HUDMdGxtGcCNQcHi80z0 Eojuw1VsoZEjeXcLdi+xYcLaGNwiNv+PAJ81NfqKWIHF1pWJKgFEDafKsq6LzUdCCG9zkSWk VrWpkiM4oBFDsFAnNeQ3PBBVB1RBzWhPzcCzFULD8wqSiwd0WHoXvSO0olmtlusFcU13LNhd uMjhnp2jdWG8V4e+7hDafJ+m+MM4WgqJitYLogtTStwWk/Jq2hBHUy+zh9fnjms7dgp6vFsw UjH7kwY4+rByi9WXQVDO3TmrDWxjj6/6mPDz6f7ux+tppGzSWI5AFUEIy+4RTAB0ejBAlPsu 2ITcy8hrPgBj4Decv6znkh3KIHZvOVlwvLNWcT7Uw9HAS2tBeODMSczbhRMKD7vMt9CnmFj6 pUZuQqaRsmkYas7KArVVU/8ceHTIIvcAAKm9DuH0pHqENS/xKY3VIGoW3QkFdkd67wJpsdhU GujepNIbTlowsAgaisZspkHGUyQJKyiXY8wUozPd5DBN6DielgC9K41tkIOS2e9H5jAMG7rh ThpKE+F8Om/asGRvh4T7LLul61+5E3ltLhF1EmeWgqhIy6YhSjwMyPpqKmeemB7QXdJCYrQI zigMh+FPwco2SbkwNFGGcr0aTwWBzZXpdD02b65oytQIQQL7UxaVbGvgzOcMY7Ob6KAqi65q XI+Nz2WXBYuruWG9h3KyWBm/pWWFmKctvszw+sCtlWEcGXOkPJQiN/euYGqmYIsiWAUzV5XS dFg3poYXoSOm0VYEBL2rY2SiWayW3CXDTmB9FTQLpzywcdvVeldGsnF4UTQZj2dEvaItHl5r s5yMnbVDU70xDmduC1/sPtOemb5v6tPPu9dR8vz69v3HV5WO8vXL3XfYQM7oFk+o5cHGcv/4 Df9rZr1uJfFW/D8KMz4+vJIn0JtQco40MJKON2a4hPo9mANdlqgqCnALuDWjXqJgx30jCO4P lQZFZQfGKU5Vy8brONiJjchFK/hk4mR50UZ/IJPexHSmocpFQ8KoK5GAfQJKm4kmTyJu1TNk /VSUfICdPFfb1aey2Y1+g67/6z+jt7tvp/+MgvAdzLLfXTVAmpGKu0rTmDw5ZoDxIEdjQntq wO1Zqs0B2ueCpGJV9LTYbi0TRtElhgoKeZsHjgqk3rfu5xpZ2vWjZaK71deWOOC6HdZJ/Jvj SCG99DTZwD9u+9UjnOtwYKvQA2meOWlWVQ6VnX0Z1jtbtaXFUSWq5E8A1SzasZOYm7KGpmU0 DfUuKxYBSTpA2AwZ1HlVN4WM9Ndqdg0y4TtmTXZVQ5kN9+0D45z/78e3LyD//E7G8ej57g2s v9EjptL94+7eWKpUEYJESSpSVmww2VVaZn0Sg7HzCBMMrMhBdBAW6aaozAuAqogEdqbJYtpY ZIGH932TziOCLJmkU86iUTwVnqNnO7zxvd0V9z9e316+jlR2d7cbyhAmOF02sNAbSc6xdCOa mT0+m8xKGq8Pr5Li3cvz0z92e0ggBT4eZOFiNvauqUomKxPWoamYuVwtZxMS0a3oeNjgL/Ki w0hJVJ/s3JvkSP6Pu6enz3f3f43ej55Of97dM94LVcygMvTbCGtLZWw6disWUP+2fbcdtVMN Hayqjq2WRjyiTWRdCZq7qG9EmPVZYjme2ezQn+1TFRKbZ8W9cHdwhvm6tmCP4Q8S7G3J6fSG eDBsS20SdP4k0nwHTC6FCRdlrbKJkrUIePscwcpK834XUJXdTCgyF6XcFZRY7xJ1sHVIMGeL tftgMdjD/Nl+pj28jsSZH9FruKFykfsKCzxxGWGmrq+ZnyuQEDMHQyhkqfNomiWhMsEX9Cmq 6OAN9qVVxEBvb9hgVlNC1nyRmJbCW2xSeDtCJWvmKw33VmWYvciqQwfI+AqPU3Ed3fq46Lmv PXUPl7roIKg5IK1GnfMDmqWr7H9M4QMwMDEiAyjI8hwiDVM1JlbSDPg+1ObNvRXazxv1tala WBmt7bgC/e6wKRnPQLyXViI1DSsWRdFocrWejX6LH7+fjvDnd1cDjpMqwuj+87v1FKyNHN0O jJxt3JldSBJFcLEhg69BRZ0Pt0/OVDUa3I5cUbwF/budTMcTlzieu0RyPayjBeYhbE8rsvX4 508fnU6BvuwE5oy30fjodKyNf/vJnuW5UITYLzr+iKZPRDL6SDyPkKQfHcqMSCgpyl2Cvc/1 ZBWuvNlXJNdXx1NkDGKcLI4XuKuj/QKEPeMu5jlSU28NVV+/p4oKW8B+g47c7F/KTX1NBi0U D+rtxnRkdQNC7nN+1bAFk7BeLmE+e6pS7Ol8atfV070aBRGqgkOXoJbj9u212A5GUSIuhOmj AKiGEUx29usG9i6ySwQVi+ssQ0IWoKERK1jdeNFfDHfi/fb98fOPt9NDHxkqjNy4rrK5mZO7 kvATlEqMx1UVcNolSmCkxBCzaDBkJTZMMKNiRVXIJtTtQW02sNfI2EIMQIbjpu3pYOcnNxo+ iHdzd4JZvZxfjS9UnR1Wq2gxXozdyrMkqAo8V0V8IC8WEZFaz5bLfyFCwyhZsdVyPefeXLe3 8cQe91K/BH66CcSKAUPCuyZ1BJp0lrhMmcnAj1pkcvn3IxJZaF8IQ5EDaj3wURxksLxqml8K mCb1+bLCv/wQDM9evcM816wiEIbEsA6juOEMS3kdm0nPk9JMz46paSq8wkU+jTMVeqXCRJvo 5+CM1t0tzeWkCEbIrDwCxSw6jUJMvblFqwlYrILTRCr0ySglHjwjWZKM8Dn/ZVKRhXbJxvEH Wj8+5s0eP17hF9AntBuvgM70g0d47JvBYjKfTdBBYL4cUJcNThiLuJqtVhOXumRENe6A1fVB EohQUNlApUu1iKEAhVA32hypJChTDBj2vGva1J7X1NElzVHc0npS0LmjejKeTAK7rkxUhyhN PQX23Ml4S0vEdSlKXZpeq+w6BkY98VWEIjLKElpkLrrs9laJeQNlIRyaHhLPBrwaXznsjnnj 1tWvc1ZVVZRH6Dfly4H3uuZeWa1rvpbJOpqMGw8sI9jtMKdAIfI+Hparq9V0epFfB6uJr6/V 87OVNRWRuFhyxDUl9sstIXZnZ1tYJKYV/m26qtR9ngPB1VFEEpVSxNb63z9XmTavfi6pN8L0 5mhqgA6aRMODmIwAvjIT00YRuxgwk7RL0EsfuQXones8ndVNIhkEMGsTT6wYihRBHfkynati y5vZeLLmvoaOvRovZlZb6t0+D89hAEgbZT+e3h6/PZ1+0qinrotbne6V1t3RVTf4W9hLaWdK GjWsCkhFM8ywPFw3LwPpDUEFXtuUATlxYOQHcYIxWpZECYSf7UbiDsCFTSEXNumUAAEh0YZh RlpWlpaUen37SjQwCsFfFgYOKaGm7S5sCFOswzl0MnjK6VpTJ49ME+5NZboL+r7fvby+vXt9 fDiN9nIzHNXhM6fTQ3fbHzk9UI14uPuGkNuOC+WoYZ6GuvE35h8NC0xkmcGiybSECFGvH3rl LhlOu/m115NPi83YC/CmTK8Y+NofJDLgTnBNGbWtnofQZlXSjMbH0ypBhldThltq/KGvlilT To/smeR2fJVI0Gl8r9Vt3L94MYUQXJtArITbb9s8uxJUASU8vZd6mOZhs8kwnS0mvfbIf7oN heRZSqGM8tzw3x/NgNxdaKZ4wF+du+w8Ih0NDQFuTJCtJo9VTFw5pcA37Fjmzf9O5+8Voqnx YfYRduQu73Q8hgWAvEdjzLcyuBqP68JoRiwqXDGMHTtrQKUw7DP46mddcKVpJuIVJs+Ghmou BwLRK54yNHOAwy/0NJpKAJVQP8F4K21SOinOeSO+Imn05e77g7p36cb1qkd2cUADxnuqWrZt ujhkcZXUn2y6LKMojEVj0xP4fx7RPLOac1ws1jxApOZDl31kzceu4JLoGZomqRMtP2TOxEme v/14c+M9zsZDXu5daM1d34nJ+2LkBjBEPHLaVmQRDZntKW0u5/OV2daBk3LHywM3yvaT8fWE fTLOVnbMWqcWcM0fvO9ch+i3g6lzd49bmnO909pND9w4gTLZrEFNrm+NRUYfxHqJXdzpdG7E lqbqajxidOA1Yzew5PT98e7Jnd04KGD5qDjrwPQydYzVlMbQDUTYW8sqUhcj+7tyvNxkMZ+P RXsQQKIxMoZQjFvcNc8DkixS4sU02byH35TIq3av7oPOOG4FXZlk0SWRqIFVPqSowSY/E/mt CzbAigq1BLQHDxiWKaquItNoTdr/tcrbUt34mlVJ/kySlMJ520kh9XS1apwm4D3cswdMBwu/ PL/DR6AkNdfUfsOsHV0J2AOYzNlfPz1ANIjGlKDMjzJzaDKJk4MrqskXJheYXnnDar89f7JI 5JIGz9s8tOr8RYAxubhiC+g4ffMuDWMXdfixFtvLk6oTRCGnNwwexoequex8C6bQRuxDTCX3 YTKZT89XJhlJ31h1xnwp+RZRtrcUUQUc7ZI8rgf6BSdOZ1Ylv9l27FimbVpe7mYlAxs6GLLd i7GlnCW4QbbnfB41CgAi2SYBLPAVU6or9OuCZVmF7pcBRG//4RfLjlfPULBtvu4dhNh5Pdxt JFuVVU8W1FVqOVY6Vq7jMENRkZW6UlC12CR2aIPbIBWhJz49KxqhLbOU1V0UH3HjCcocKsY6 PzoZ/kRyO1VumQnbIg3jBPOr1bc8tbsV5QxR3m7N9S8vPhUZOUJQyBWw8uWcKb07BMwpWte1 GFblgzvDFoGhmNd8ogXF8oCilCWPkdddeHHeMCmzpN3BEJMkFIqqgJhoLJWmY1y9xrRgOTox JBkmZGpXora8Y8EGdSo5mTiPYtZsn/gRkcLDYms3BdHVNW7ZmbxxGkFsviPYjWCF8paUKMsU nf6cYzY66IttxsnS4Zq/7IWWqX3kit+3oiMUBVFBgWJ/Zf0UCeBPSSpVpIQ7dOo4uHVq5wvz mGL2VhM/Kw3BfH8o6oKDt0Wpvg6DdKgxnNbO/94XKeurq0/ldObZ3GHnSm8t+Jaepm7eXXhG 35Q8g4M5toWxrKnRh296L2E7Lopawwu5phw00nG2kWNS7KJNAasmhUhHso2aoGigl5Lrh0jU 7l/tLT47ilXl6iI81wLYjDca+0AlDohyMxlSV6i10p+plr+5Z6R1MLsaL9gp0cuUgVjPZ/y9 JSrzk/uOe4kkx62Ia0QVcbEhyA0j+qj1YJY2QZmG5hS42Ju06g5Dy8ZpNCRkB5c0TAzx9OfL 98e3L19frZFJt8UmsQYeiWUQc0RhNtkqeKhssK8Rjeg8IToX/ggaB/QvL69vF4HndKXJZH41 t1sCxMUVQ2xsYhYu5wt75IC6mkz80yJx/AYm07ofQpgYEj7zcnN1a2DqGbL8kGCCta1KvW4O ZSLn8/XcIS6uxg5tvXC+lUPC3d/oOKWKqj2vHv+8vp2+jj4jhlQHDPLbVximp39Gp6+fTw/o 5n/fSb0DIxARQ36nAxbg2uZ+yqB2JdtcobNRY89iylQc/Fz3doMtYHrukBdl0WFKSW7j1Mqk M/lpAGkzZBkFrqNMf6wGrcD3kJQGnwcNFzF41fUVH1Cjhy6rWVxzZFLkzujn/1F2Jc2N40r6 r+g00R0zLxoLQYKHOVAkJatNSiyRkuW6KDQu92vHVFkVtqunZ379YOGCJUHVO9nKL4klASQS QCIhZolXYTYL6Dc9ki79SQvgQ6FKpW+XBzPvsl0r7CZ/b3D38afWRX0+Rr8wQ24GR7zVOf2G VaT+YiGEyHuVh62rm7Q3vnuxcEKkmgrqZOXIf2hdHeYVmJoXNGW0ZUGZAlUNVtADSK43zUYB 7n2ZBj6CaoVdCB/igKuIprFj/zZAqDitaJt28fT1Rd9t9EK7is/EykVeS7iXm2LWoeUIqa1G N7ceA3qVz9SPtbE8/5T3VC4f1zd/WugaUdrr039bG0nDMa4Hjnm5E2wfoGYAzuqxDjMC8War DQqfX87Lq4P4zN7ilCmJ/+AsLED3L69IQ1GylibE8jQdkVNDEHRyPzAUWYpi8NM6bwhtEQd7 0MAkFoLrwN7SyHLCDMEKamTp6tU8h1gxJElMAoGKe6bgk4ZjKqN/T+uG1hlY7gn2L0HtRed6 v7wvvr+8Pn28fYVUVYjFz6ESJdhm6ww6npqqK8zwzG/ovI2SKkUhwJiPZP0sX6+eoGK2qOsm OqwLw+ObWbuVM4MNn2z2n/rTVWOdJjuk65RhfHduH9tVa6el4tlaByoD8XyE/D0V7MWFUlTZ Hyia1gs67s23y/fvwpBQxfKMPvVdEp1OTmxPHTlN7Ta6xdXxkxxq8ZA1S68Wq07+QRhy1zXr AVgaGt77sj/fVQ+FQ6p2601+9MSx5HGbnBxqm9UZK4joJLvlwSux3r2eab7cPkxU5Ie8SGkE nf0reDQoLNnWxXllR06aaa/RZFTU57+/X16/+O2YFQ1jnLs5aap94NEj28aVuIwg7opX9ysE UcnJk0ZPl/mFJKIWgdQVSU8FCqqQxC2AWDJxJ5izonfNJiccO2rRsD8cIerBsip84drpKkGS YF9eFglixJW+oGJOuFdIOcUw6JqEQtXbR50ZDVSRXcNYd/6GphH1iDyhUNsUoZuFY9sFZxQt 3JwynsLzUi/9NmYEwzPkxJG67WNxfKpPHHp7UQ+3mlOG/FFY8zSNwEYHGncMyT47opYdP/lS VM8qSLc5DO+HDEyl5gJvbCuefZFTgk+mEgCKpIp6fHn7+CGWITNqPFuv9+U6s5ZTulV3+f2h MXMBU5sq8ABNPGovVQXxsjbfDXJvcs1/60ynLiL/7axzEJOj6nKS2teHTLjuYkoobA4ZbEJK h0rK6Sc4VWFu1MifFnx03IqGdoNLFei43hXmuZD+zMZM35KyNsGZqrSHpqkgV2InJpT6eT5u rEMeTexXgVBEwq2OrAA4QPThf4okwoYfrEXnEL3GiFguJjYEKU2bIw6lmgYAGswOJ0ng3HLk SUkEzQkTR5ecMBQXSQBOzAIbggahxRGTQKpgGCYFMDA7MWxnq9DmYlKAZXSSAQ9lWLptt99B HotTItJBAyhXd2qwT1anI11ZN1CuRRuaoyYOLEo8Uxw9//r5bti9sM2WUK4rMZMjBg1gk4OT 1dpPdpUwmrAWALq2Kw9dZt0NH8B1xTC3XS9GgCAQSGKUgWQCVanfioNOcAaWu81djCnQoTbL OiuBIgh6U54AescTn/p7HoElE6prj8mNVpZx7bM1fA7fcwzrSj9nNZdEQBfQAFDWHrAPeSww BYezhkIOECNPhBm8D27yEDyn/xQHAdSCAiJw9CsoviFoxTNfOmE7YpLMFE4yxCgGC6Ew8DaF xREDE4YEUqC1BJ3ihIItIkO1OfoB5qE3ihTHESBtBbBwzukNMYlywz2pzhuKZvVal8e2X/n4 6T4ROoPOdZ7aPOSZqAlMhTtTnczVTcBAC1Y1B/SLoFI4Cz47BGpIzVR1CmaRgspH0OcFJWxQ CkpZQYEjUJtnrg5NLlZvMdgDJBTNDrNtl5/l7dd608du8tLY5p0YSXM1lBwJ3MICSjiCjHyT I0WgeLaNurQ5Kx61rE/BFUjtnP6Pn9SODw1gyZE4hj5VEBi0cYxrKW9KrkroYzHVnfPVCnx3 Y+TZts1hf940bQPM8Js9ZYQA9o8A+vtjfq77pmVOfFCXpa1ijik4EAhDsCjUNJVA8XoNDsox MGX2qh0w87XaRkANBUJQAlkWGmHwN0I3crgENIoiODUecw7qxEZUmM33xjpO4gh87XxkOZVi 7gJH6ycWtb9jxLP5yb9r2ghF4MrZYGE0TlIol0NepCjwXqHJQ27wnIqmxLOl+FyJigIybped FYNyIN91GFQiArgx+woOCnmJGHgOdBDv9H609+tSGAPAgCjrHEcInGkERPDslCk44gcd0sev Qd3mUVLfqGbPlM5JXTMtqbJy/CTyOxaT+WWq4qHQjtrI0XVtwgIVqYU1M6tec0x4weHlfJs4 +58jJITHb3SDzTZzjupAlhtTimCh5EZOXZ7AriQjw12ds/kB1NUNnp0aFQNgTik6ID1Bj+De JZF5Q7BuGAa79XGTxTwGw4wOHB22nrie6JzAeyUPnCYJhbyzTA6OgQ0ACaS4CKWaEjjCvMUD 7/lZLHMdWDBUYlbpgAlaQ/EWWNMLSIy7u1Wg5AIr7+Z2C/x9bGW2ZeC9T8+/daB4vhkjsN09 ZI+7A+yJMnJpr1/l6Ng/8gmFnhvZd025HR8KRR48HHCqrcGHy8fTn1+u/1w0b88fL9+erz8+ FuvrX89vr1dzp3D8WD7JrVM+r3dHoKo2gxBkdZtp6wQcCvE10mt5ruYGf//isZm+XeHQ80Tt btWZbTn1GxMwsgLbrj9pGvlBJr3BdZsnJiBPz6FPVoACW4B+LFvGWMwz+Nqy6upgQv19lJky fN5s9tJBCfp6eC54vpoPc8nvt6yLMQeGmFyry8tCUMbDSdlcylm1qROM8PmhsO8rxBShsl1K OvCZPuXrPxqyE6MuI0NKw+HVP/7r8v78Zep2+eXti/2UcJMDmqPoLMe9VpSk2bXtZunc12qh l8eXeZ2Z7AbZ/qVjVatXxYHELY5QNjra9S53Eh5eODUroYB2VWXtHcy9rrP8nNdbrxADDrtt aJY+zODkhv3Hj9cn9Z5k8IW2VeFpZknL8o6nEQPfB5RwSxNz5h1o5p5eU2/y4TDaSz7rCE+Q F5XSZpKO+Wd5TQq+yTDx3FV5kdulkcGYUmSfiip6kbIE1w/QtROVoHS6Ojk1UzR7P1XSXU+f ieZFc5Film4+GF7GjTi9gYN7SiNqbh5NRPscUraKVKgBv1P5mdLJJHC9YWRgdl6+M9pIhc2e HsYBY1XC66wrH3b7+/a8bvMgl1gZ0VPwrqXiaEhMUrdsd5tY2KZKItCpYyd9ZNtNbhmnkiry EYoP+KRqBJhbUUgkCX46QJZAh9Jq6s4t2uZTGxPwXUQBKq+LvN7ZgYwE4PpdSBrnTc0RgojM zVWR44C/n+7cJxwxcOu0h9Vk46ar6Qw6u5tgHrsDSVJTClB5RIEseIpmCsZTwrykeGruyE9E 7hC7mMbIp9kLXUUttyuCl3W4swqT6RAEm3zFxHCBlvLq29EPwyR2DFFPHvucdYyHB97+niNo C01h2tKws2nL3H03UlI3URKfIKBmCAMkNySWpN8/ctGr7GCryxNDN6aHViwdwfcRJTa4Ohi0 Tr6FSyk7nbtW2GOOLh/dk6w8pH8Sh32E+iSreqY5s6rOwCtuTRtjxIyWVM5GyDx/15TEm8A0 ncMuPRNDGtaqvetTaLDISnluWQbAwE0WI2EOFpnHIX02OFqBn6XYm4hcJqHeKLxp0j1UEaIz /UgwxCjyGYwMHipMEgp08aqmjDrqqXc7c4jKTcyt3fHEQcc6lbRxHGybRPvN5902m5nqxBIm Qp4o5cIGz82Q4yLfo/lmj/Zg8zTO7q7WboRgmFKTxfY/tD8mXu9pOzlDQ3tIvRZYOfLu/Vz/ 071FFzKGxxXW4G9llmAkBmM+Txw6tOlxV3XZuoQTkTebD/q2fnuoA9eiJ/bxSYSf/UBM4Wtn pMFc0iiYrUxWMJpyuBba/J//3FkNGIhjYU+IYbP7mNttLMjtN0arhRxYbRbbcrUwHNiRtZhI wE/UYZqX2SrbMspMw9rBuHkAPWHufYgJ2bRVShG8prC4YpJgaLE3MQEuKgYoZs8Ew2VQGHyw ZDLxBDR4bRYK9g01K4FSq7RCDkFxEkOQNHMZD0GeiWuhPI4gXwyHJwbbcTJTA2mn7JYce6P2 p7gg+8+t6ZwUTNvcwTgCh77GCJxm3mBhtwTGYd2wCEMHQyYL5wxsaonYV2BN7FOSBvy3DC5h 7QeuBttMAbdem4ndkLyz3LARe9ExYfLyQQSusQyeFT+hQN9tVofP8hnH+QSOQgXFoRQkyH8i gRSs3D5rm2W53z82m3O3O+R3bb4v5X5i1222j+AX7kLFgIRBAZdy30Whi9wmk1xUzdZk39VH AlakJXWToYA2lGB7Yx5oWc2TONDOw3LpRg3aas3cR8F8JpEUirNARo+ck+iWKaG4EjgGx8Ql 3QKwGB232dS66CfYCA34AtpsQqHMN6OxzIIxTEFVZqx1YMxZ0BgmoLz0N1sm1xi3kAiFEG2U 90jurdclZbvrNquNdS9ZRmdVmPS/t8IwqiTuEmqacZp9Yp0sdRPoQx7Di7aecVnsjypWRVtW Ze4Hk6yfv7xcBlNdPv1p7lrrQme1em/VLbdGs20m37PqjiEGGSerE6Z4mGOfyXsxAbAt9iFo fNI1gMsr7JYMx5t1XpUNUTxd34C3V4+botzZz8H30tkpV3crUlJxXE67/VamVuL9TZ4vz9eo enn98ffi+l2um97dXI9RZXSNiWYvGQ26bPVStHpjXYHXDFlxDC6xNIdeXtWbrZostmvTFV0l v3rYDvdPxgtEfiUskY5xRqYqOj11kqMUn92lHRF6ifXP4/zz5ePyddEdfTnKBpEP25jikDT4 SRDFnZ2EqLJGRoQ2Xp6XUPG4zeTuvpJQ6yapQ8+0pbrILqz5VnqdBw4iBfuhKqHw2eNLJ16d zEHrR5zoB0a+Gfo9rLvVgBuqF+oIy8OKOLptogN9UtHrst6Zno3GF3VWVTvruEYkMg1i4Nkj g02kS+QdJ+A5Md1rR4ZSxfGq4GBiUuRujmZaUtvMlcgqutIvc0wytxCTarXhhbnFL+Ozc78O odutdpUpySfriu44MzSs+8GadHl9evn69fJmvkWq4OzHl5erUIJPV3mr8D8W39+uT8/v7zI4 xEWk+e3FfoBAC7k7ZgfrOKQnF1kSUU9HCXLKI+S3VVdmcYQZvNtosIC3a/vmbhvqbMBpIG8p BbfcB5hR++LDRK8ogS/D9kWqjpSgbJMTCp2Ea6ZDkWEaeaIQZoN2oPaoNPVUeEOStm5OfiHb 3fbxvOxWYjV1ArvBzzWqjtdQtCOj2dP6nLIsZu6O/BDGwfxymsNmUhNzjrwsNSNbzQGZkBMe mfFxJ3Js+5ZbgLStZtPk9nUjC5j9eNlx7LWcINrxrkZyDK2pNXrfIkwSN6m64rGoQuwBomUS bB5gmGSgz6idGTE6g/l3x4bhCPpSAoFT45EjQQhebfQcD4Qj6MLzAKep6QBpUGOI6tf72JyE 4QzoATGBp8Q+vjH6qhwNF2uwuKpOCTTxelx+ImzQaabxA46I59eZtP02V2QOKCc1OsA7mSYe +JDOtL3CU68BJJmZficWWY4LKKuU8jSsGLN7zsH+eddyzxPdkuwoRUOyL9+Eavvr+dvz68dC xkEDlM6hka+IU3C71eTorxVZWfrJT3Pmb5rl6Sp4hG6VBx2BEkglmjBy14Z1dTAxHU2+2C8+ frwKS9fLQRoq8mIEdi8sDNF9nU+1SfDy/vQsrIHX56sMAfj89buRtNsqCbXd4PthxUgSOPPU DKFDxF4knYrQVbhKYzBjwgXUlb98e367iG9exZzmPwTQD1H53Jb5Hqgm320Y85TKphYiBOYP RYcdzScGcH9xgpNAuvPiq08UvPg4wQwY6LsjIlngbGTgIHF0i4GFc5Yw99SvooLlYbdyEwzQ eZEBewpyd+zvUXq8CUz1LC5JTQFqQuzLDiMdPi0Z4TgCMk7A4iQJZArvjlzYDLOCSm9JMo1n Z+ndEVM+01ePbRyTyC1w3aU1QoBQFEChaw0TjjH8YYPAR0tHvEPIm3UkGWPPohbkI/LnKEX2 lyKSDBaq3SOK5LM7wWJtd7stworHS5XVu8pd7J73RZbXxGPe/86irVfelt3HWQZSvWlZUKMy X3s2iaCzZbZyyXkOLJPLjpf3sF0PK1eldytBg7Ybhqmf8ZmlWnafUH8kFg9pAmleSY/DnVXA HCXnY269CGuVT6+sv17e/wzNEFkhz8A8+UpPkxgYo4IeRzEoMzsbPWk3G3dqnWZlF3M2LA/b 6TXA/Mf7x/Xby/89y90fNZUDOz3qCxnAtAlEGDTZxHocq5dDABcPm40Ty8XVBU2z2M8gwUE0 5dz25TPhMmNJDPqfeFzBROqOINgvxmGKA/VTGA1i+towjGEaqPgn+VprIL9TTpDji2OhDD5b spkihELVOVUiBdYG5aXwBN4mtBjzKGo5ePvRYpMmaczmuofl8WigqxwhW0N7KDTpeEw02MF0 9rcSKXtpBoohrL5bDVJzvm9jkQpwctMX5ZClCLyybQ9rglmwq2+6FIecyw22vVDN4MNldi+g CO9Xge5b4wILydpbJR7HUlQYjrcGaTJTxb0/L+SW/+rt+vohPhm3KJU32fuHWKtf3r4sfnm/ fIi1wcvH86+LPwxWa4+07ZaIp5AV26P2hWVNPKIU/e3u4ytywKLu8Rhj9PcNBvgEXJ0wiKEH qioFcl60VF8OhWTxJJ8WXPz74uP5TawbP+RLLrZUjLSK/enerd2gqXNSQB72qvwbeySrYm05 jxLipqbJlm7QRzLH5T/aYMMZCeQnElmbWiORUKcEHTVtQUn6XIk2pbFbKE0OdgV2hyMCdAVi RswcOg2COg1JU6DTyE4x1ydEX4P0R98oXG9HOC2FkOkfNLCSGNvEY9niU+p+3yuLAnuV0JCW vZ+rSP/k8mf+8NGfxxAxgdrTFaToZSc3n1bMiQ6fGA1e+WVE1wx7Da8llmCwO3aLX4Jjxm6q RtgqMy0p4dDoFTUlCSAoQXT6ruqG1CGKAVu4larEcpiHlYmuc8CLRJ10nroYNiX6UcWAUUWZ 0y2KzVI2Q72EyblHTiQZpDYeNfU7qK6VMyCzVYrc/lrmoF6ntqWom6EgYkqEIniMcITt15kk sO8qwsFl4oS6jSs1KHcT+lxgMdnKc+Kd/36k7KJ5r96D2lKOc+4OEC0rgkEq9UVDlIOb3tbs WpHn9vr28eciE2vAl6fL62/317fny+uimwbLb7madIruGCyZ6GUEIWc47/asjx9gyUGSccBV SeLLXCzHwFjRajysi45SN6ueyrzRo+kxfLynOURbhTJToxSlTjc8cEYIRDsLEYH0Y1S5JVNJ Y19XbdriX1FWacB5uh9afGboSyVK0PRcjczYnrT/7V8sTZfLe43hllXmQmTbrpYLh5HN4vr6 9X97o/G3pqrcvBrwgvk0u4nKi7kAeXPEBNrbsXoJX+aDm8mwtlcPrCt7xjOuaHp6/N3rcdvl HYEdwkc4ZJsIsCHeeFFUaPEiQelaHiHHWlNEVyNooqMQ5IKf+oOm5esK2qMdUXfmzrqlsFyp L+4ii2MWtpU3J8IQg+4K98bwXpgEroKX8wB1KnK32x9amrn5Z22+6wi8Q6I+K6tyW3r9IL9+ +3Z9XWxEN3/74/L0vPil3DJECP519umkYR5BgIHoPnxpL5G8lZAqRne9fn1ffMgzxr+ev16/ L16f/yc8+opDXT+eV/CjjyGnEJXI+u3y/c+Xp3foNdnjOpPviEEtZMbpFz/0EyyF/WKfpBeN 0IOn4V0zOKU+tHBdex8reltWK+lQE/j4vm7717nsEkn6aglCOl1RtLrtzt2u2VW79eN5X65a twQr5VNX1tIRdAO+cie55OtwZ7E0LqS7Ti2fRXLya/rjU4O2Luuzim8QKHoIk9+1d9LpaUTH lwH6Q9OF0FrwOZ9MQD8zJ6yx2E5YP4pUYTOC20Dfnhq1k5fykyshC3aPJIyA+6GyaWPk/xm7 suY2cl39V1R5uDWnanKjfXmYh1Y3JTHqzU1KlvzS5TiKoxrHdnmpM7m//gLsjQsoz8M4I+Dj 2iAJkiBQJO6xreqJLGGREQBNh+rI/ZpZIrmHbjQpRRgU6AlkEyWc4MT7yEqQB6nyL1MvVK/P D7e/e/nt4+nBqqcCwliBUlkhQFZMTxcaROxEedPvg9wlk3xSpqCETxb0XVCXapmxcsPxZclw tiD37gZU7gf9wfUuKdN4SlcDm3oxm+p0meiNksU8CsptNJrIgTETt4gV4weellt0e8KT4TIw NkA67Bik63J1hLV6OI74cBqM+hEF5Rjcdov/LObzQUi3iadpFmMEwP5scRN6tL4W/TXiZSyh 5IT17fNWAr7l6TriIo+DI7S9v5hF9rmX28csiLDWsdxCCZvRYDylAoKTCaBGmwj0/QXd1DTb B4hU0uM7eqDQ0+nMY+7WwZMglRzjJQar/mR2zSbUkWUHz2KesEMZhxH+b7qDT59R3zAruMCw 6psyk/hAchGQKBHhfyA6cjiZz8rJSFJjEv8GIsMgs/v9YdBf9Ufj1NAVWqTn6QsNPUYchk2R TGeDxeADCFqwkJAsXWZlsQTZikYkQgSJ2IHki2k0mEYfQNhoEwxpMdBA09HX/oE8qffAk4+K RUi9ol+CzedBv4Sf48mQrcyrYxofBOSuxMVmK8iQriXj26wcj673q8HaUyIoHHkZX4EgFQNx II/dHbToj2b7WXTdJz99CxqP5CBm3rZyCRIAA0jI2eyjcg0sOZkq888gPIyH42CbUwgZoZkq SNu12NDyJotdfKyXmll5fXVYk2NvzwXoONkBhXtRHXQ6GBjdOYOvc8jz/mQSDutz4dZ03Vgi 9eTLgkdrckFpOcYq2+nfy5fz9/uTqfJCYhUkMBJ0ID8F2EDfog8Y1HbIB2dKN6sndSClVhzK SrWDyRDGeywX04HzxU3u7kCZjiocLLdQQsScpSth6wBjaqD3zSg/oKudNSuX80l/PypXvvUi vY69GjcqY7lMR2P6ZEN1exFErMzFfDp0VuaWNbZECXRD+I/Pp0OHwRf94cElVv6xDSIqFaQo yA1PQXHZhNMRdNagP7SSykxs+DKoLVunw4vcy2lnF7lzuz9NPukmWsFgdVnl44HVOUAW6XQC 32nuqGKYJI8GQ9H3eK5CUPXUCqaIID1MR+N/B5zNPd5QHeDUd15Rq/a1+aen0WoMJpson0/G 1naCVLNrYmtMak0b7pjXEwdFmK93ZobJQTiE1ZKaZUD9YKlUu7jyaseLbXv4tXq5/XXqfXv/ 8QM2JZG9C4EtZJhEGGWiyxVo6rHfUSfpX7fZBqpNIdF3kEGkO8nBQlb42COOC5iEHEaY5UfI LnAYsCdYs2XMzSTiKOi8kEHmhQw9r64lUKusYHydliyNOBkmpCnReAOETWQr0LtYVOqPRxC8 XwdGCEagLYNwG/P1xqwvRjWq97lm1rjBwqpKnq7J7/iziY5LGDBh3/GiIN3FAy9PhkZZ8Bt6 c5XhUlGvElYPhUdQMIe+TcQKj6Jg6wJqtY/PEyGp8w1g7fZMBFZ56IBVxUL25ScGkXLbRmdZ hb02xVmRbM96HcP3eLBD0B+w4Hu78kjyGgw3/AvlKb5emtGRMzIQE4pSAKrWwZQuRYKFNI5Z Csu4lVfDPgrJr3b0OWIHo1/7dfxLLYYllzxbQsmRx4HumaUlebsA2J4xOrKQYoSzkAcc7C0/ Oy3R4+mo4wdhyGI7KfcKa8oymHm4J8/tscisvEbRyiPZ+yyLsmxgdNdegsoyMmcPUEBgMTB7 tdhao95MEwZFYi8CNQ1WmSAp2T4wGm0ww52QpJ9N7BrTnRtK8TIp1wc5npg2ScBZZ3G04oLy eYhNrRwgmULOcIuRJWbN8QJoeDhQNPWQdB3ZE0HDvSDHFywSkSvwDpRyjKb6YDYwdhLkoqwm 8eXt3d8P5/ufb73/6cVh1Dzpdh5v44FEGAcCg3XvuR6tFjlutNl2PHlSdfytjIb6JXnHsd2W dRzHS2LHugqzpLyO9cBoHZPw8Wow53OPqwYL5bFqMKpORzrqIJozQbeQxmktkbXtVpcqfQ+N nMX5xfKX0XTQn5GlF+EhTFO6eMubeStiHwhSUwooKwJjs3XlKgNhWjWpVd62FqBoZ2ThzrVL k4PIdqnpCD+lNMidADVgAyMVlSDYU1XKmZ4OEZfegyc+R5oMlBEebolCU3aNvam1F39V48Vo dUstlTdmIisNkuxiKC+L9a23YsM+Eb5fygCzucYrpHStBkllnQ5f1bmEU8mCdNQfThaBU6MA w3RQ5wBVaWEyHelLbUed2FQ1zvtOAYpM3dZ23JGbkxE+rCUuzLDKin7BCZLiewdalSt64aQP rVv+xF/7fGJ5fG7IkwM6KU0SUoWpQThNOWlVSGePQ5oWMPWYuypA5czFV6zuc9D4ptFwbvrC qmopRxMy5lclPO1ErFNlGKCHG5sah5OFYeFWfT7bKW4rFpN/nNpwMRqs4tFgQak6OqJaxq0x oSwXvj2cH//+Y/CfHkwFvWK97NUz4TvGFO6J59Md2lpseDuQen/AD3UUs07+Y40q2G2l28Sq uxv1tmpofIC+99Ub/S7aHaZ8vdZSRA0Gp4c7J69ty+XL+f7enQ5A2VuvjUlaJ6s4Fk51al4G c88mkx4ubAe3TtMbZiJJJ9Y6ZMOCQi5ZIL2ZtGuYfwQ00DCnXeUaoCCUfM/J/YGBM/0Im42u w16oz6S6/vz8hiZir723qv87CUtPbz/OD2941fv0+ON83/sDP9Pb7cv96c0Wr/ZzFEEquKGc m+1Ufom8PZZ7IngYoJRJw6ePlYOUrLCFsO1B0xMG7nQwrgHeTx6bDoGBdfv3+zM2+vXpARSK 59Pp7qfxIohGNLly+JvyZZBqGmFHU+MHXeGTzCCK6k78gF1WzBWNw51EGenhHDRmIjfhBU4b KqHmF5BPWRxMp61IE5w639ay43nGjXM1m1eG1J7KQTUV8udTW4QEkno4rqFFkZMNB7okGdyY 7DRGIU0nNBYLdCMcBZdro4BQwF4vg0UB4W8MqRYmxquHYxuuR2fZX1ACWj+wQ4Kj9SFxE8oM MiSqjVzgSFBazXxqYrPf+vTydtf/pAOcr4dEFfzcsd0CTu/cHCIbZ36YhqdyVTWXnCpbiGWo ZADQsZptjNTa32Dxjk7apKr8Ah/Mxis/bcvl5IaJEcVh2c3CbnrFOcz7pEvXBmC7CK7pkcBN OJVlxSlDkLpdQS0ROtB85m5y7JA2FGzqc1pbQzbHZD6Zkt4FawQG5llY/jY7Fu61LyeuIxHQ iX1OXjuMct96oYRCTMKR+WimYXERD4aktyITMbyQenip7AMAJlRaFVaV9tmoI4y3kAZnNB15 8/VEIjEwpLOftlfHAzmnP6jieEIlNSDXiXXDuBoNty6ZdCTZfL0QnZ+SvoZrhIC93EIPs94w VsloMKIzhSFLmrZrgMl84GaJCYfkB2UJbHUvy2qxBwgdZUGHkNvWDjCf98kvLybJxaxFBHPK 3Jks8Um0d7JU1464pipPhi0evfe4kywxucAW+VJjQBCHhh8eo6cWITFpVpxyc23sTrrPM62e sVUhuR5u32Dz9evyYgBT4NBw/9zRDR88On1CjEqcSueTchUkPD56puTp3OOjXIfQbk80yGxI hkfSEeM5KaTImn+cmJztIjEck66kWoAVLUmn05OVkNvBTAaX5t9kPJfU10H6iGwjckg/Ki1A JNPhmJCt5dXYOoxo5SqfhKT9UANAqey7OToebmv6zTG9Sto4hU+Pn3HP+MFoqmPrXRSOlYT/ uzyztb617SbORurqQxWNBxGi8kNxcew0dyB6n0UYGgz1R9fdIrCWu5XrHlQc0xBvybWDXXGt qB2hSlsm2Z45N/41r7FOF1Z1kAc7/Jz2A2VVqt1P7g61PVJXDprOx6G229tE4/Fs3ndOS2q6 salI1vgMgnO886DPB8JoSKnreVAoZ8N5bQHdkivjS8XsYn/W5CJT/TkxydXBbZnATjnQzX5q 6y8MOtrwPn3S7s+qdpfLuMxWK7LyOoQ6fNT4VlTAplltVtWG1++NFNimC0z8jSGpdg6xiklo 5FxR6x2XN/syT1hCJF2iD1WygU01EjNGhUZujFdKYnh0+Cj3+MBU0Rexlc6wSs53L0+vTz/e epvfz6eXz/ve/fvp9c14RtI6+LoMbVqzLthxuTNds8pgzVMyKmk3C1iUMue5Pqh3xQqDvDQ3 fvptDYYUDWNNOYQf+OADunu7y10gRmUF4dcdf6tBaGXS0vRAD53UGuzFmFwaNZDgk9F44MkB mRP6GaCJGlCrqAkZj/2FeO4PNVAYhWzWp/YlFsiKwqFz1YOvMqSuAfXqVG7+yQ4noztp/H34 QW/XUZbIzGsn2Yk+E2js7VC3/kNKZWq35FKU10Uew1wYp8P5Jg9NmOArmHgoGgicOZtsrkEX TqGVW2dEhg9Pd3/3xNP7CxWMVJ1zw0zalVJRYNJemkWLIrTbCDOItE/KEx4WGZquwniT03F1 Tte8bKOqol0xBjxeZu5T0OL06+nthP5ridWfJZlkUFv9+KilgWCpE93OX62bVVXE86/XeyL3 PBH6gRf+VMuHTdPPVStKuzR0ZRtltPMQXuxe86K1dIbuefyuHEF3RocVIwt7f4jfr2+nX73s sRf+PD//B8+I784/zndaQOnq6dSvh6d7IIsnU5FrnksR7MqM4uXp9vvd0y9fQpLftSVU0q4u gGT7Qio95F9WL6fT693tw6l39fTCr3zZfwStrhb+Nzn4MnB4iske1XP1+Px2qrjL9/MD3kW0 3efeGXPJ9Js6/KkaCITOyX9b7r8vQVXo6v32AXrR280kX1v/qlkgpja0WEfZbpEP54fz4z9W MTWyjq+9D3d6S6gU7Q3FvxLBrp45Pqrcrwp2RVSUHWTY3Rexf97unh694dErsHUFVRNhuRyN 9N1eR28ClzqM+sa1rWnNyWXq9XNcQwo5X8xGtGJUQ0QymfSpb1Pz0QaDbAkw4OPB35G+g0M/ +oWxjedkQOpUGrci8BP2l1QEbeRwPYY4EsQ1l+FG6g9SkQzLyjrP0rWds8yymOwDlYgVtGqu UuI9k21/0miWCSsrXa9y8Juw2ubblQiESsEHuksQpK2CLTPSP6GXJCI5R/Ssisnboh3562T5 2r1Y4MWVeq/qmpkBBxdBvdcC2G6Qt5BN/OriSltEuAj3eO+gfY5m7Y+5YSbvVEKTkzwIt9ih RKlBEW5Ag0V7CMEkGbvkI86yCBMBXxR+hbp9YcWtIi2vr41DDMXZDuljAcXEpxGN/UB1dLY5 9sT7t1c17XQ9vGYpK3hYAltT9jti/RLGYC9DfDucBjjQhmZKTFEfa4BsF0V119x9fY2NeVJ7 Yw0iOCvM+2iDG8R72iIHUSsRo2/feXKF1fQUlIDOGVNNRGZ+CMrhPE3KjeChh4U9YLKykMWw 6RasiJjQBcz8AG2S7JoVoRlHJQmp+haBph1BucZ+An9Xd3kr1IZhmSU7RsG2u5RL557NyCip Qv00shM8fn95On/vpCZIoyLjkd68BtMt9ct0H/FENwIFzRs3gPVOvJnM8Ahha/wO44BbCKnN s9WPtlHZSuVIzdCqAuWWHfWHLMGhPoswaFpxe7N++LO9yK0O0657by+3d+fHe8qxg5D0sX01 kuXGZNbdR2TZVGCVr40xEGCEqgD2FjAx+eL/YpoyWRcNONxrG27FtN+J1UDQMtiN9oqsLbVW c3K0UgmzXR4zyuGTyrpga24aLsEn0ji+dNEqtioJlDJY7QiqdQ60IldoZfwINT10nhyU17/n h9M/hpuRbujtMEjRerbwPORGvtcQEJm4tyM/MFWwropm1IWziHlSLeQaobJpxlhsHV1F6Anb 9zPN1hJ2RVKX85WE1Lsgst5KdrtNGaLhfS53dFTpTOghwfD4yt4aWit/9XbojGY4at4zfR0H 6O1AgrIh8NRT0BIlSp5VgaY6LW9YGlYVFaE8BFIaRxMNI88EvsgLaVWrQQkW7gragAsgo9J0 YFKT6LwtTJOzUeWxm+H4X2Q4vpChZVmiaN1sr/XY12U0NH/ZaaGQZBkG4cYKB40mN8DzWHl8 9bMOfhasWUMfLwsvMJfSrUsza/O4Sqg1aajAFgHNzymYK00N49IHajDuB1Ic6E7QJM3vXiVR dn88/VpFObuQM4z9vEC7OHOGbdjxDbWn6bhjKtGNIA0qb7KU2V2mNV8XNNyI2OJc0WBxz6DN mX1X02TIY4bnilv6IBrSg65XHHPJ9dsYgwxL19rsUYPLU3VEr37TJYAqYH6qhkQMipqx3HFY WmCPytdpgLOl0UftbVZ3Z1WRSIszxWkMd7tGBG6Slnm1y0hjOoxKuRJj45NVNPMrQmEGIQSC tv+rbjh0QLbHAHBHDw3mhYjje9gS/rkMCOLrQD1njePM2NNoYJ5GjLZL10DoCUW17SNgwmSA z3LdI93bu5/GY2ThzHc1yftiUnFRhA3566gXAhHWpVc1iT4XWfIl2kdqreyWykZGRLaYTvtG 73/NYs4MPfgGYORcuItWzZzTFE4XWF3pZuLLKpBf2AH/ppKu0sqaNRMB6QzK3obg78bCGd01 5HgpOR7NKD7P8HwYNrJ/fTq/PmF47M+DTxRwJ1dzfSKyC60oRLbvbz/mbY6ptEaIIji2kYpa XJPf82K3VTvw19P796feD6M7uz0rHrSTn686gt/wOCp0zzpbVqR6lS1T0/ZgYs3X6HMIdvPG BXH1T9PsbpfqVlLXE0V1q49mpSwh110mr7Niq6O0Mlm+sVa/mqSGDH0JVgE+0OFCTnYdiFlg ToZdgzWKb3hXaGdWaJPAvFmIjNJaF7lVjCL4W6nYH7SxwlzQUlM9cgr8aMTeGEOdMMeiHYYl DEM6ww4yG83M3DuOGSjN4M3JF0QWZOjJeK4fR1scX2Wst1AWj7L4sSDDC8kpC0sLMvbWy9sW PQKGxVl4OIuRL81Ct06y0vj6eTFe+Bs9o+62EQLLDQpVOfemHdChUWzMwKyWsqkxSU1RA7uo hkEbPOsI36dr+GO6REeyGwbtVVBH+EZUw1942jjy0D01HFhytc34vCwI2s6kJUFYghIQpC45 ZPholKKnku2KjOAUWSA5mdex4HFM5bYOWHMGb3MKxrbe/kUEhyoG5NvdFpHudMctRovJioIW vzVMXpBhqhdRbHpRjRNKwWu0rpSjkJPKgnEiUl1Ln+7eX85vv11TOvP0En+BNn21wyDIzcrU KAqsEByW3FQirIAdlZZQFjtgRVZ29T7JocOvMtqgL5RCefWxWGprwsOW1Z1j1atTGSVMqLsI WfCQfrHQYMmVWxkFKb+2KateZaEOX6qA1+arUwd0gQWqYxzjwz+9zi4KJyaRk+548LgAdCmE 4iN124ksycZnb5u/Pn15/XZ+/PL+enr59fT99Lly1NqqoI2K2vWg/qYoFslfnx5uH7+j7cef +Of7038f//x9++sWft1+fz4//vl6++MENT1//xNf6dyjNP357fnHp0rAtqeXx9ODct1zesRj 5k7QqoPR06+nl9+98+P57Xz7cP6/W+R2UhiGSpnEDVe5DwoYXly6z/lI1A3TZwxFgm4KtyBF qaFXaSz4TE3unrN0A4pFkHtrjo8pK6kxX1eaOQEGD741CH2GS/dRw/Z3cXvzb4/ypqaHrKgO SnRdVRnRmuHiK9pBl381gLPmfDt8+f38huFPX06dN2DtMyownpgEObfzqMlDl870B5Ea0YWK bcjzDXPq1zLcJBvDeFQjutAiXVM0Ethqv07FvTUJfJXf5rmLBqKbAx7PuVBYc2BKcfOt6W4C 8xm3ica30cEyZvahbo1arwbDebKLHUa6i2miW7z6h/jkO7lhqbFk1xzy1V7+/u3hfPf579Pv 3p0Sy3v0y/HbkcZCBE5RkSsSLAwJGgksItOtVyODCWVO0rR5V+zZcDJRQcira8/3t5+nx7fz /1d2NN1t47i/kuMedvvsxE3bwxwoirY11lcoKXZy0Utdv4xfG6fPdmbn5y/AD4kUISd7aWMA oigSAAESALdP592PK3FQH4EXCv93j/d6nE6v271CxU/np+CrOM/CueEZ0S2+hHWcXU/KIn2Y 3kzIGEorc4ukmvq329lvE3cJnVnZjcqSgbK7D6YpUvGEuCadwo+IwjHnbg1AC6tDduUEcwoe PpvKNfE9xZw6BDfIkurXxk8TsFIqHtaSkUGvRgKWdtxDfseyL3UTziPuv99bNlnihZUjw5ex sJ9LCrihvuheU9qbPHanc/gGyW+uiTlCcPiSDalro5StxHU4MRoeTiI0Xk8ncTIP+Ztsf3R8 s3hGwAi6BHhXBWpQukdm8fSayjhy8P6mQI+4HrkpuKe4Ie9htUK3ZNOgtwC8du/F7sGfp9eU 5C4Z5Zx2OusmbKoGYyUqFkRj9UJOv9HesKFYl5/9Gxu13bD//ZcX2dVpHEqoANrW1Il7xznF 2s8fGCCC/BrLWiwT4C2G6wFn6O/YhwINCtgLahPR4YTEImTtufqfmiSWVuwSK1glHk6WkKVX cqOb2JD563VBDpuB9wNgL1Oxd9wH8wbGT+rV1bLK9rEgvu7r7CLLpI90ZaMevaTLXRmC4fmi DhYHb+b15Sp/e/m+O14tdofd0focAbvlVdLyUpJHhPaDZbQYpAy5GFLpagylshSGWtQQEQD/ TNBTERjg57qfji3XanN7+GEWpTox/m0d2ah13VHInNIKLhqk4b68NFsdMVr4HyIUubJHi6gq UjESetapLlaTO/W92Y9FOIb+zK/99yPernN8fTvvD8RKmyaR0VUEXPJQ1BBhFjgb73iJhsRp mb/4uCahUZ3BebkF1y4N0ZQWQ7hddMG6Th7FH9NLJJdeP7p491/XW64kUbceDnlhSVWoAQ83 w7KaCVc7TfVD6bu/Flk2UWpoqiYaJavLjKbZfJ58a7mQZiNLmAgkt5vlildf2xJr4gIeWxmN UrKv6RpxmvhiMzBHXvFFeU/4OL1Nlixwc6oUOlYCAxDs1lu4iu+OZ0wiAK9E36922j8fns5v x93V9q/d9uf+8Ozm6OLxnLsxKBPXvw7xFeaQ+lixqTG8sB/H4PmAolUMOZt8u+0oBfwRM/nw bmdAGrFqV1V/gELpEvxLZ77ao+8PDJGuejaqcjCehMlWYuatI3wYJe71KErATsOcV2dQbGh1 LvDsOnFP7HghYy/QWCaZAAc9i7wCa3pD1g0U78K1eTKMlQNTHRzRpPbMDz699SlCa563Sd20 /lO+QwE/u/RsX7YVBmRTRA90aQyPZMyyUCRMrllNRSJqfJT4Pbz11Lyv9Llb5S6JQr+JOxv9 Q0cJK5PVoZoEDoiLzB8Ig3pEzQcLmW+EPWqNPYCCTdaFdflQDLUN4TOSekZSbx7b2E1G0b/b jZ81a6AqGL2kTTlDkrBbesYMHm8Qu4yul8DRl2gqUJNUgodBR/zP4HP8se/HAYbFLbPmIYpQ gIjTBXC04hbMmsKvTuJAsVVXoCLuME4N2q8SGItBwdpV5sgqq6qCJyDd9wLGSTLvIENFwbph 6Rqkygh4Eo9wr7Zcjn3FiH4gawfFdlUnEKdL17W3M0+kEANflzIpgOWWQnp+DGLzIreINvP6 oVrFvAo/hM4Dt5V/wZDpZCRyDva6pKrkVotUT5PT5J2rC9Mi8n8RwpmnJrbTCn/62NbMeS6R d2j4OO1mZeIVaYuTzPsNP+ZuLliRxCraHVx1Zx4rTPgo3GZF1kXLG1Cj6x4iirvehJordeSx Zm6+hALFonRLaVYwjZmfVIIHcTl932O3NgZL3lBAkkIKb5YtQus1lSmRVGqC1n014e5cxBog Cvr7uD+cf6rKQz9edif3QMoJikryeqWSo+lEH43nLKXTEeA/dEmwUnQKK3HanQl8GaW4azCs btZPkDbdghZmThgyVtkwXYlFymg7Ln7IWZbw0WAjDz849gF7NirQ3BVSApU+NTOTNjqO3R7B /tfuP+f9izFwTop0q+FHatR1D9ALo0LQta+XNbgfg+HMfS/nErrWrpnM/7iezL76vFeCfsM0 GjJqTIKnqZoFGkeVCUzpw3hQ4HFXGO3VpSpWGqPSMla7GnaIUX1qi9yv4qRbmReSg2nd5NzE EuNtLDfX1OazksA1A1Wnv7QsVNpFNRwBAx9711qwFZ7Vh2VlrYn60TlTk6Z2TvZbK2Xx7vvb 8zOePiaH0/n49rI7nP0UF7wOAq1lSaUTm45WROeNXOO/NINbMjyfUpQZ5p9ceIlp0JwDdwuY Wv9wdVzE0Ri8vdvM8WR95RmfiKGDMaKKPM4H94vl8G9x30ayWInclawPjaz/TRiU6de+Mdfv JhUPvDVznNy123sYKjIKzASRD+P8dXOIV6sgFS6Kzxbr3HNDlfdZJHipo+uhdEGiutn1Zihj RYQZCdUImHQAfAo8Vh/lAEukil8QLGfxGFH6biOSN0pjjDcDMgciZ7Oi3m3QaDqr8LsdlCpt Ir2PNWBOwwKwCKYg4sMxew+OC76yDrR/PL2dTCYjlF2Ywnwefm1HpaIwKk7yvdGgKoCi8StH VaDVY4MSmIzpK/kBy9xDnxc1DlTYk3vaFdDIvMiyxiQE0qkhRnJU2r4K06AWeB1wsmIoxuE2 lsYi+6DtkxdAldQwusreFZUX+RxI42CsljqzXB/GIdFV8fr79O+r9HX78+231tDLp8PzyRVj vEMVVpXCu73LA2MWXOPsz2kkSkTRYBGwfhEt5jVGiDQl9KhWtymODBsi2yVe81mzio6jW9/B QgYrY1zQ2QmXP1BHrMHK9OPtl7pBpldgfagLgR7OLX7lSohykPujt1/wULpXuf86/d4f8KAa OvTydt79s4M/duftp0+f3GrnmGqk2l4oY7cr1OyG2d9fSjhSLaBwD8UU/awGXDcRKENbM2gI HyFfrzUG9EixVpFhwzetKy9qXkNVxwaeD8LA8g8lzyAuiBV4uWhsVqm4SGYGSh8+2GJ8Y8MG HImpUANvvP/ewA+r+HzkIV7Fus01S2onEcM6Kv8Hc3h+Ui0Z9zSVsgwxhKzJK3CUQfPpTZ1R nbnSywOhdzUCVBpo30oEDK1F6qe2JX48nZ+u0IjY4s6je9+5HvakChiwNMDBays6+tQqWdx0 pavuqaUuV2XZ0duQjc2xGyiBkR4PX8WlwGu/EpaGVSJhaaasHHrqcR3HQhgUfPBE1wXESTF3 nqOcDGzAzL8DEndEpo/qhApdbRdSFbiDlaWgrzryv24g7HfG6JfK3A+nT2dHgqmH7jPVa2VZ dO6J6r8X/OpioavlcoRGQdtMGT8wVrjZPCDBeiEoCYpS+TBe+DA+zn09h8ARPavfR23gMLzH zM1bUgBKrswE6H2FgKmO+9P2b4+t3M2Genc6o2rARYu//r07Pj3vnJhqTIruu6BzpE35jCHY 50INExvdaQqnhnBYs9QKIjrwhaQTfZ3Uz/eSgTsjDD2XwN4BKwcdGj1lbjk8n1p5PcbIxX1R JtE/qAYE6HzLJsMNPs8H10h5B30RTJusk39mEzRaeykCXsMzDBwO5JNhrcveJxXZ6KbUxQkN Qm/1FtP/AP+V9gQspQEA --EVF5PPMfhYS0aIcm-- From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============3465098501074062721==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: drivers/clk/clk-k210.c:717:6: warning: variable 'reg' set but not used Date: Thu, 03 Jun 2021 17:34:57 +0800 Message-ID: <202106031749.dSbBjn30-lkp@intel.com> List-Id: --===============3465098501074062721== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable tree: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git = master head: 324c92e5e0ee0e993bdb106fac407846ed677f6b commit: c6ca7616f7d5c2ce166280107ba74db1d528fcb7 clk: Add RISC-V Canaan Ken= dryte K210 clock driver date: 3 months ago config: riscv-randconfig-r033-20210603 (attached as .config) compiler: clang version 13.0.0 (https://github.com/llvm/llvm-project d8e0ae= 9a76a62bdc6117630d59bf9967ac9bb4ea) reproduce (this is a W=3D1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install riscv cross compiling tool for clang build # apt-get install binutils-riscv64-linux-gnu # https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.gi= t/commit/?id=3Dc6ca7616f7d5c2ce166280107ba74db1d528fcb7 git remote add linus https://git.kernel.org/pub/scm/linux/kernel/gi= t/torvalds/linux.git git fetch --no-tags linus master git checkout c6ca7616f7d5c2ce166280107ba74db1d528fcb7 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dclang make.cross ARCH= =3Driscv = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:556:9: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inb(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:55:76: note: expanded from macro 'inb' #define inb(c) ({ u8 __v; __io_pbr(); __v =3D readb_cpu((void*= )(PCI_IOBASE + (c))); __io_par(__v); __v; }) = ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:87:48: note: expanded from macro 'readb_cp= u' #define readb_cpu(c) ({ u8 __r =3D __raw_readb(c); __r; }) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:564:9: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inw(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:56:76: note: expanded from macro 'inw' #define inw(c) ({ u16 __v; __io_pbr(); __v =3D readw_cpu((void*= )(PCI_IOBASE + (c))); __io_par(__v); __v; }) = ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:88:76: note: expanded from macro 'readw_cp= u' #define readw_cpu(c) ({ u16 __r =3D le16_to_cpu((__force __le= 16)__raw_readw(c)); __r; }) = ^ include/uapi/linux/byteorder/little_endian.h:36:51: note: expanded from = macro '__le16_to_cpu' #define __le16_to_cpu(x) ((__force __u16)(__le16)(x)) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:572:9: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inl(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:57:76: note: expanded from macro 'inl' #define inl(c) ({ u32 __v; __io_pbr(); __v =3D readl_cpu((void*= )(PCI_IOBASE + (c))); __io_par(__v); __v; }) = ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:89:76: note: expanded from macro 'readl_cp= u' #define readl_cpu(c) ({ u32 __r =3D le32_to_cpu((__force __le= 32)__raw_readl(c)); __r; }) = ^ include/uapi/linux/byteorder/little_endian.h:34:51: note: expanded from = macro '__le32_to_cpu' #define __le32_to_cpu(x) ((__force __u32)(__le32)(x)) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:580:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outb(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:59:68: note: expanded from macro 'outb' #define outb(v,c) ({ __io_pbw(); writeb_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:91:52: note: expanded from macro 'writeb_c= pu' #define writeb_cpu(v, c) ((void)__raw_writeb((v), (c))) ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:588:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outw(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:60:68: note: expanded from macro 'outw' #define outw(v,c) ({ __io_pbw(); writew_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:92:76: note: expanded from macro 'writew_c= pu' #define writew_cpu(v, c) ((void)__raw_writew((__force u16)cpu_to_= le16(v), (c))) = ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:596:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outl(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:61:68: note: expanded from macro 'outl' #define outl(v,c) ({ __io_pbw(); writel_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:93:76: note: expanded from macro 'writel_c= pu' #define writel_cpu(v, c) ((void)__raw_writel((__force u32)cpu_to_= le32(v), (c))) = ^ In file included from drivers/clk/clk-k210.c:8: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:1005:55: warning: performing pointer arithmetic= on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return (port > MMIO_UPPER_LIMIT) ? NULL : PCI_IOBASE + port; ~~~~~~~~~~ ^ >> drivers/clk/clk-k210.c:717:6: warning: variable 'reg' set but not used [= -Wunused-but-set-variable] u32 reg; ^ 8 warnings generated. vim +/reg +717 drivers/clk/clk-k210.c 710 = 711 static int k210_clk_set_parent(struct clk_hw *hw, u8 index) 712 { 713 struct k210_clk *kclk =3D to_k210_clk(hw); 714 struct k210_sysclk *ksc =3D kclk->ksc; 715 struct k210_clk_cfg *cfg =3D &k210_clk_cfgs[kclk->id]; 716 unsigned long flags; > 717 u32 reg; 718 = 719 spin_lock_irqsave(&ksc->clk_lock, flags); 720 reg =3D readl(ksc->regs + cfg->mux_reg); 721 if (index) 722 reg |=3D BIT(cfg->mux_bit); 723 else 724 reg &=3D ~BIT(cfg->mux_bit); 725 spin_unlock_irqrestore(&ksc->clk_lock, flags); 726 = 727 return 0; 728 } 729 = --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============3465098501074062721== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICIyauGAAAy5jb25maWcAjDxLd9s4r/v5FT6dzXcXM42TttN+92RBSZTMsV4hKeex0XFTt5M7 SZzjOPP49xegXiQFKckiiQAQBEESL1L6+aefF+zluH/YHu9ut/f3/y5+7B53h+1x923x/e5+97+L qFjkhV7wSOhfgTi9e3z55/3h7vn2r8XHX5fLX09+OdyeLta7w+PufhHuH7/f/XiB9nf7x59+/iks 8lgkdRjWGy6VKPJa8yt9/u72fvv4Y/HX7vAMdIvl2a8nv54s/vPj7vjf9+/h98Pd4bA/vL+//+uh fjrs/293e1x8+7w72e6+bH/7tP10+vXb7afl8rdPZyffPn75+v3Ll0+/bW+/fP36Ybf9n3ddr8nQ 7flJB0yjMQzohKrDlOXJ+b8WIQDTNBpAhqJvvjw7gZ+e3GLsYoD7iqmaqaxOCl1Y7FxEXVS6rDSJ F3kqcm6hilxpWYW6kGqACnlRXxZyPUD0SnIGA8njAn7VmilEwsT8vEjMPN8vnnfHl6dhqgJZrHle w0yprLRY50LXPN/UTMJYRSb0+dkpcOnlyUqRcphdpRd3z4vH/REZ98opQpZ22nn3jgLXrLJ1E1QC FKpYqi36iMesSrURhgCvCqVzlvHzd/953D/uYCH08qlrtRFlaIvW48pCias6u6h4xQnZL5kOV7XB DuJViqciGJ5ZBdtjeFyxDQdNQTuDgN5hoKlHPkDNfMDkLZ5fvj7/+3zcPQzzkfCcSxGauVWr4tKd 7ajImMhdmBIZRVSvBJco0/WA7ZhnSiDlJGLUz4rlEUx3y9lpqkomFW9hvZLtYUQ8qJJYuZOxe/y2 2H/3tEApIYOpFq0A0lIpajuEFbVWRSVD3iyS0YAMBd/wXKtO8fruAewQpXstwjXsBA56t1jlRb26 wRWfFbk9RACW0EcRiZBYRk0rAUJ7nBwWIlnVkivoOYNtQWpoJG7HrZScZ6UGrsZSDOu7hW+KtMo1 k9f0LmiobJzRTlhW7/X2+c/FEfpdbEGG5+P2+LzY3t7uXx6Pd48/PH1Bg5qFYQF9CWNP+y42QmoP jfNCioMzjUqwaGmxlSC19AaxzfBkWC0UNfP5dQ24Ya7goeZXMMHWSlAOhWnjgcDeKtO0XX8EagSq Ik7BtWThPKI2tj4LjM5bPbjj663CuvnHshPrFTTmtjdJCzTDMVgdEevz5W/DOhO5XoNtjrlPc+Zv NxWueNRsum67qds/dt9e7neHxffd9vhy2D0bcCsvgfXcIXS+PP1sucJEFlVpyV2yhNdm0dj2IeNZ mHiP9Rr+2Cs0SNctP2IHN4hmSAOjmAlZu5jBK8aqDsBQXYpIr8jlCxvCakuStN2WIlJzeBllbA4f w/6+4XJ6XBHfiNAxHC0CthJsQsqttwRBGfvT0dh4a6cU4bpHMc0s+hUP12UBs4qGD+IZyz42qwcD A9PSlg2cJyg34mC2QqZd1XW65SmzfB3OLYzR+AVpTaB5ZhlwaxwHBhbD/ER1ciNKeu6iOgDcKdV1 VKc3GbMFBtDVzRRp4VGmNx+murxRmhpsUBS69jc17JiiBCsqbngdFxLdE/zJWO7Ns0em4B+iC4xX dApGMORAjaE8Gh5LwfYy6E1l34vx2xA3SXJkKuE6A3NWt1ERTWQmfY4ibuKCmThv7Fkds+ZYg4r2 OQGD+CauXBE6ASpIcCzrgI+wd73QsAGHWXkVrqz54mVhR4lKJDlLY2utGuFtgIlkYsfoMFHQHrWo K+m50a5JtBEwpFaxyrGSAZNS2IZ0jSTXmRpDaifG7aFGXbgZtdi4y6UeQuBBuQCGTZ0WjFrmIA+P Itv+Gn3iwq77qK6b0HB58qHzOm1+Wu4O3/eHh+3j7W7B/9o9QkTAwPGEGBNAVNVEPG3zgScZYbyR oxX+ZA27zjfR5lylVdBYz2l047ya3VDQ8RMmZExDNreeYMMCaosDd8fKpgVNxlAICX62zb3cRoBF d5MKBUYdNmSRTTHpyVZMRhAzWBOrVlUcQ45h3DksEsgVwTtYazNjpYFf1lWORlywFAyXzeFaaZ4Z f4OpuYgFsBBu0F7KIhbpKLps59jNkTu+nz4EdmohhQo3XiJihJM5eAjI8+oMUqfl5zkCdnV++sFh WGd1VkSOnc6yitDjDYT7NTj/s9NBhg0zfM/PvgxBUQP5+MkJk4o4Vlyfn/zz+aT5cYSMYc/CWoO8 nwV23mKQlwyWsonzWFqvKrDfaeCRqKosCwnjq0DNAbf2ptIsXDeBa0tkGTkDhgQAuk/UGN+ncjDf gQTnD4vQ8fQ9gaqyMXR1ySHLsviVicbh1SnsTjB+fQyLQSsEGJYATfy6D0H997tbt8QFEQ4Y7Lgx ccNeACimPdT6L3AIOWO536CB1uvT5Ukd6cDUQiC5IFeoK40RsLzfHtEkLY7/Pu1si2bmRG7OTgXl Axrkpw+WrwpxBaaw06PUFB4G69IjWH5NMIP1XK6uFa6d08S1KBkVWusK1vCQIvXUeeUGtc4GEYrV DnVcVqSOXIXY7sDJQDqHclMvT06IXgFx+vHEy/jPXFKPC83mHNiM/MiQ7qAswR4a7Z9wUp+tMmoW mTKgiVDb5g5lM//7vyF9Ao+0/bF7AIc05lM6SU+Zjf3NgApTJya6vIA46pLLmsdgTgW6s9YF0Kqf ksVIGt8dHv7eHnaL6HD3l+d+YyGzSyY5OjuwlYRwSVEksG07QmunN4gQcgkTFmvXerVodKJFropZ VM/EqWU1VJvSiVCaWtLux2G7+N6N65sZl53fThB06JFGnFLt9nD7x90R9joslF++7Z6gkTu/vYi/ V1lZg4PlVIxqQibjxMB/QoyJOVYYcmXZZ0Oy9q12A5Vc04gGiqXj2EsN2qA3D03ewKWELEPkv/Ow dcc2mTFEpv2qKNZj+w0WxJTR2tq253KwQgAeDaewkNcTyEhA1gg0rPT7VsbrtiVpf4CSgzuCcKbx Xq3OajaK7k3kiMQUHDOglkFUZSMBUMxh8uaxRFA9kCkeYgw4g4KdkzYlksE6N5ipNWPEhlnWPGxC saGhg5lqD//j8Y+Z3bWwD1sMeqI+6FERlUEqMsGIBOwXTNbKMQ5hivFSAAKA0YiU49WamPnsFHcG djHlJguT/0LYs+Yyx3m4vKICYQwg7JBfjcxFEhabX75un3ffFn82buDpsP9+d+9UVZGo7YlYzwbb RLG89nIpH0fHuDMyOIrH47QyrRKRK7vC+Ebj1Ptu2HeY/drmw2SIKkPpl1a4W0RVyqlUPWjrif3j ulahErAfLiqutIvBmk+gEhLonOEMBSLNEyk0WTtqUbVenozRGIpHLrh12bU5FHG2DGIvA01GDw1D 8Ml1TI8fBgxWuyhZ6nbXnBNCwB7K69LPdUiCOga143YYLc5yezje4eQtNMRNdvjApBamLYs2WEyy jTA4zHygcAoTLqoOIbjL6ZqlT8q5Kq6o3ejRiVDN9cgi/9RpgtCEOGDL3kSM0aggpRNXtCYKFQ8I uo9MJOw1Gs2keIUmY+FrFCoq1Cs0aZS9QqGS1yQB2yhtfdBsqpymaPFrJjNG65THExIMxYDNp89O Wzeq9th2Aay3CezNlkEsHAp3AwIM3ZgoRmAs0LtAE4Y3Z7/FcPBhbTRoJYqmCB5BqONeAbCQ6+vA tS0dIogv6FNWp79+vap8OfDHoorRiypFDk+uzXXze6aLDEIzCMQJD5VlorgMuqHyf3a3L8ft1/ud uV+yMMWzozXoQORxpsGgS1Fqgl2Lx9qEY9wGMGUxG2wGW9X1+JJjFEYqaUpSM4xs97A//LvIZrKs tnpiFYMBUOeQOWN06kafqkwh5ii1CRkgBFLnX8yPU6yRHLXtxDuYZYNZi2St/bJUXmRZVbeFMQi5 RGZK9xDlL3sSDmsEolITdK0tScOUg11nsIYG2E1ZFJa3uQkqy+zfnMWFfVOmC9Y5k+k1LEfJneHG kkEAt+nCyG4wXKIgEEvaZdykKs21EmIplBpXJw8FS+09Oz03w8BtRXG8UZJIJwFCIPdgah2ACjXP u+TArIR8d/x7f/gTwiUi0Qa/anfVPEP+wRJno125T7D4Mw/SNulXrk6pwOAqllZDfIKwL3FOlwzQ P4axcaoKwP+lIrz2OIFLwmqbz3/lAUTp5h2oyTW/HgHG/FQWOg+jQV9FpTlJ5JoavHBmVZTNiVPI lGMnAN5FLbUsIBKlFAFEBoc3vpQSkcegzKkUyayjUnhDFyWsIjDfWXXlI7Ds1QT1Q8Gra0ELlbVS dXdPfIwvp8ggod0s6fOgHk+dYKprCNAg/xZc+WJvtHBBVWQNxYLHRTUCDMO2760h0iykQTqzARWl Z9GI4a4yAzTrz5fEYMaaNmBcYFQ5MiwxV03s+HbYeR0yENQ9nx4dVoFz36ODX4ItuyyKiECt4D8K rBr4WITVdZDSEXRPsuEJo3ZLT5BvSNZ4MId1s3nuKVnNHfrOC5L5NWeruYYihcijEIpQRhTSSgqj hIAGgeVfunuC3cz0cvUXCKEdOd6OAAc0S9BN7iyRGcIsBQxmFg/DmsVLT04P3ann/N3ty9e723fu DGXRRyXo/mHzf6LK/aUzJ+axXld4ZRQ9t/IsHF5RxVJTxtzTSctSlLpsbW/seg7TFqIeU5+BSCEr nXgIKPqqlg8istVAiggCK7tVU0XdH3bo2CHuO+4OU7eaB85U+NCi4D9Yy2sKFUOWB9FRI8RMW6x0 6Tm8dzl1TJAWlI56NOSjFjrGtZGbGp0Dxbte41uPLQJYRXxDz2bbG3I1dTC6rxqXg8d5QGJphc7d HTLqvJ6iw9UDDpOS1yczi2xCZFOGVb7QGmtuuoB9HpJxgkWSSD7VWoX6tdbgeCFt4BPCsYzlEZtA xnpqTKuz07PJaRCSvNtqkwSyYFEbdVF4WBAB5Kd1PtJbT6Jysgbt0JSlLqcZsJyKoFwaMaUBPVKO traxbcm8fZSkFQRnE6sqZ65G4JmaIgT7/SPMFxdhI0ERCBmtOd4YITKmwFBIFpGmAgI+WFRX104z c3Lmz1MDnNnxscaD26ZybzXUU6rpb8TYfZvbbHnzpoHHBuzNBJ+O3ALhqH0GRkUTRkKjribYj4Jc gBXB75LHLsy3xwZUaOaCJP/dmybdHkH48kKuS4VLiIrtCjYC3BQQIU3G5PMEmz81ytE60PbqsJdC jLdvtB8mjtbWVb+OjHe9MkWV58Xt/uHr3ePu2+Jhj5WoZ8qzXunOL1AoXCIt2uF83B5+7I7OiajT TjOZYMqBr2G8InlHa0Ujszw7kd7IdjUzupYEDzvNxb/Xuk4nbhCTtPQeIihnBHRNGtE2x0ud5Ss0 cROdzIqbx1Ov51DUWFZwKkYk0dhOkqOfM5oDHXT4CkFYZmq0BR62x9s/dtMLNTMvH2GBT1+Xrw6/ oW7u4c7xm7ziTtGmlZqIpwcaiAp5PqXJjibPg2vNySDZofJOvqeoRp6BpvP34mv0JgR4o2rK6hUB /AhwlpZvRtMyS6/o6HJMycN8Vp1cTW3RBo/ux/esBBVPyxkb1RJR91EIOqKIOCaRLE/4Kx1CxkpV PwjK9NQLKAkSnicTL3JQ1KiytxJnjAysKcJJc9wSYNXTvTFJUOXxVFLZk7hZIYG/zF+d76aQ/LaR 4VkGbILZPsu1RkP4Sq8m2Hpbp/OuoqXhLM1eoQhfs3+Yzs0TFO5ZAUWinXr5BIVQ2rtFSdFNvApA 0Paea46hf11mjrY6O3VJu3f25qouTuFacSpsA8TGrirjo/8iUgOEeLS5Dr08bQ9g0UwcD9vH56f9 4Yg3YI772/394n6//bb4ur3fPt7iAc/zyxPibXfdMGzycU1aZJsCMnavRt+jvGI3SUNWTW2CdpUN Y3rujnmH4Lqhl3IsyKWcOAwBXBr6SrxMwzGLeOLlE4MsNlTO0fIPxj0gjBAzmtaCWvk8stWYgZoI lBts7pyZD6qEHGxSm2o1rKnPVptspk3WtBF5xK/chbh9erq/uzXrfvHH7v5p3DaPQ+2PtORtkaBl 9N83VDBjrAlLZiq7H5zUvzFHY3iTHRLwpirgw7s0l0BEVUlAIaHtoETRgcmJwhDFzBQmoQVRrkRS mtGEuKaC0tacXKBfL4PJAIwoG4mn1hmQgGQUTXdFY2b+zARHPHzcHd8wyUCYmxSqTiQLqpR1dzfb nl5jNJ7kUcU61l0pPeOaEfpusK+XDl2+XXk+rnkwLkW1WEBhzbHSFHuLRg/3XSmkk8tamM8np/UZ iWFZ4b7fbuPIdWoRiHKi5ShqHJO4IaCFGKKjMU7pqR43KaMuTLmjkbxMr0nGUe5dxHEFrV8ZzDgN t4We5k0XMCyCUV0B8mKzAGjngalaZztN2haGInoe7Sl7DyNZjWSnMy/s2XRn5E6f7G2QpX1HZLW9 /dO5Gtwx74Icl6fXymrUhgnDvTt4Hg4fzbE/VqZCPAukLgdMkasVW76JL36jgr4BiC3eKAHRc4tu LnYPD97lO4R4R+oImnoLBtIp+yAfnvDNJ8Fq4bzaZCEgzKPPzZHE3LylwySDn7iUwLSVg8BDHab2 kUAHwY+KiDDzMLDFuQvJysIx1AgL5Omnz5Rf9HNjfKY/42ITbM6ombNdaCZLf9+P7IBIMliweVGU gjS3aL5a006nNJ1nkpQBDGPq3VRz391cEFF20X4CAG4xQT+xdA8aLCSTX87OlpT7s4gCGWajqz0+ wTQG35oueR7RFCueQizN+ZpGJ+rSv7jUofDvnFSTGuGTmExPiLFWNzRC6vRDzabUW4Q8LSYK+T3R RTghDayfL2cnZzRS/c6Wy5OPNBKiFuF8hMisxdFKGKB1siEDA4si29h7oond/Of24toATt1UDB5P yW3JNEvpaujV6Ud6I7MyIBHlCkw4Xd4SnHMczEcyxOa6/1CKcXAXL7uXHfin9+2NZMfBtdR1GFy4 U4DAlQ78FWHAsZqopRm0Y0c7YCntG9sd1JTSiI4lj8ZAFZPSKPcKtofV/GJ0/8/AA/J0rteGX44x YK7nGmlGDzKR7v22Dh6pmfs5SAB/OaHKSEpCkxd052odtIhR/+GqWE+WlAzFxaxqQ3xFedxjfNFj xl2y9cQZS9uUXG6rOa2XgpMykPDhGtGoF3zxalYZfOraSzct47d0m3D2fvv8fPe9LTe4Oy9Mvcuf AMCXtex7jR1Yh00hY4QwturDGB5f+uNE6Kg26HNTm8kTkp6AuqPWd5va39DroGH3gSF/sKOztJ7J xIdsOhJT6mTkN2KQhBu82yHva7jhGr+uOEaF/sXqFm5O1khMZX8WwoKP8vQBha9oTg6tE4Tlgvo+ i0XivL3RaYV5Zw4IaA4J+BieONQJa+49BWPCTMiRSUa4YlmZEowb0ZxxIXji4kcnJY9GB45NL8J/ gcQnWAd88qKBoXC/VNEPq/T3H0IxVhhDnY8v9WyH6xE+RuMnQQmNrYOsIDQpYkKNzXWY8eX+Zu78 BQksDPuRPC1i7CBaxGBZHM3qsHshY85kC3uUUWgtnihX+MWxAj9A6iQGECAw82ojwbeACHsDsXKz d4cIb/qthLy9G+a+8zGeWoRAGO6pILcL2ivle1YjiH9mVqdneG0Bj1waVC/mhdRU9cN0FCr7Vj++ TVvwDN+Nq5trEO57U82X3LAhThvB06IY3qGw+pNXdVCp69r94lVwYT/gB6K05Cwb3p6137hZHHfP 7fcn+7rHCOUh7Ld0huGsWCZZRI/D3mzwUEv2/5xd23PbOK//V/x0Znfm66nt+PrQB1oXW41uEWVb 6YsmTbzbzKZJp0m/7f73ByAlmSBBd+c89GIAIimSIgEQ/OFICZuAoGkgaXvkS2o/TtZXa1s6kQWN 9NT7sshH4em/j/cmOobx1MFp2aFxSDJ1SGS2ICEQaYAHFwgARw1s5MZphMV63mdbOeV/FPmnNoH/ XdlFXR8EYh+UQRLFHqhDbE7rry4IlsuxXawiIhjMpYdAfxI1Yt/RxiZxgv9SADVkZBdaUUbiunsL q7fBVhyPx5QYZbK7JUr7dTVZjPmLObSvftEIu9yhcZwJpATSht5aNVqOvWgX2LP6DvQUK4uY3kzU cw2vsGtgSYIZwEzu4aunDl/07Ucht2qhD9j0Fqn4N2k9nMnYq86g7/qCCwvdz1EaexCtgRtHot6r u13a+aqBe55+nN5eXt6+jB70+z240Dbw7C5I9oKFhdLMw45cLIYXqQ7kjqO3nv4ZEcMaW5mOy57S Ia+0aWHeWBi41oF51VwTkJW4vTa9UPYC3ZHxFK/ap2a01zGpopRckugpuIcb1EgFdpqYHYoky1uL AlsP2d6CeItuB87PliYbxTJcJh1Fu2OhwNLLC4jabTHr64RjkkkxNOz5dHp4Hb29jD6fYCAxBPAB rxWPYHtVAsbV746C1oAKwEJMLYXM+WFseK3j68RrYqwtW2FdOlfTO3LnHSfq1br0+sMDkcR0mUji Cycgip37osUVdy8NxSyISgyeIt6UnoZOzrq+9basF9vLyKvg5TG3NpacsaDV3rMz7KhVXubxUMI8 oRemQQOCBqW2oqegcDNJL+TgImnfL4hFkhYHj5kZ1bu6KNJevXTUB5/qoIGIzMXF/tGBukuWyIG0 4W6D8Wagz/GeQuALWWZeZlvW3LaC1WbSapwPkL7nadzCDtJEWs1sb/ZJde1t5YU5jFwMA0uLoEND VLDEXllZ7zngTGQprXa/oU0XtdXfSXGwGw/Lna9IQfRr1acwGhpWr4hje7QUk4Vts4UQ5PuyhIEp /CvBqJriX6zYDnTgdL+1NR4NRAO0+5fnt+8vTwgMzuyo2AlxDX9PPHB8KIDpIfr5y3dk1DaIBdr0 63Z4en388/mIeGzYBhWfJocQMPO58Gh1f3hU9bnUMhUeqvtAm8HyReBILrVI41K8fIbeeXxC9slu 8RkhwS+lu/Xu4YSAtop97vpXLgBOvUIgwghmwPk9vKPwcTmdRIxIrxn+suYBmYWfFcOMiZ4fvr08 Pttthc83VHDjbPXkwaGo178f3+6/8HPQ/OiPnUVeR4E5aJeLMFsXiIpFMhdlEpr7dkdow0QG/V3G D1emYtAJdGsVWNs1qP2I1eIv3tH8hjL22eD1dWoIdpngwXx6iQzrbQNr+9fZF+6+PT4gGI3uHadX +yJqmcyXDVt9KdumuVg/PrxYXXhvLAPWhSlXftUoHh+54Wn+GbPx8b7bgUeFDQ2y18BwQ9Q8R4aF vd4RPHroxDorPRYLqIp5KNKCvfsIipMqecDVVBl2+pVuwJ3EgFcz3DA+tgjFbTYRVO9KnGE3zeYN 0hrmUL8F29azJAb646kH28N2uwb9Xyjg0cOA4GNYKAoSmuf5qMpMBWuCKoqD+Vp54vi0AFqI3dOg I2SgsnHdn7U3hTQuxZ+r17SugDKyuFW0JVeo9O82mQYOTaZJBjutQ0cAJrcAM2dPX0BAHKSZ0OCJ Iab4iK2+AWaslnwF1ckOnucT0Hbyj9fOcjW+CfSkI+J31pLXyHZJRxiq70heE6Xn47Jsqqy99WzU PtgOBaj2FiBRhepeDys/VL7NJbeMZvXwOZ1Rw77dfX+1lBWQAzV1qfDG+HmFEiYqmV+qiF0Bgw1j pxBwlYzRoQZLR98V1W2HqvhuQmsgRbT7vENiZzNuuPKI0Frk6S3ZDp3OUb2zh/+CYqJugioI/RrD 8Z90FHR69w9FRoOaNuk1fJt0WihywV7gGnhtRc6b45pPJZFbjI6cIN34cuKwJQQp45BslDLzVqFG sCh9ozcA0sEXqB36/fyqRPa+KrL38dPdKygVXx6/uXunmkRxQkf9YxRGgcLppXT4RtqeTKdhnOBR SAd56mspLh4bkV+3KtNNO6GFW9zpRe6McrH+ZMLQplxLEZ8z5U+EhpfJwFQPuYdh++R8yD17Xyep 9QmJzCIUFkFspL4XdFYZ/COn9fe7b9/wkKIjKu+Qkrq7h8XKHt4C18sGuxCD46wvXCGwm1uHQTxf NGd40BGVkROAE0kjI32iycCRVAP5YUp7uBcoYu+n0ItsS1C88M6rXzJIvLx9AOvmnsPjRK6aIe2h anOSSwLLBNNFj+jZTPrFYOh8AKenP96hXn+n7rJDUa7r16wmC+bziT3/NBUzzsQslKghY2npyJFp 33DSm0D0fa91aE9e+N3WRS1S7WScjdcLixtVCqYXuZPpill0p1ntIqKHj69/vSue3wXYbz6vFBYR FsHWDLTX93FBtco+TGYutf4wOw/Ur8dAHxyCfkwrRYrlbFaLch4hhyXqVCK37bEi0CumhJPszWQW dckzpg0uwVt3VRHHtmtNZzT9/R52zjuwIp/UK43+0IvJ2VpmXhLsc5Fau4HBoG5AmxnWDC8QccSQ s8Z+c90nJQ3zGhjcwZIr1TkYPPNZiQiYn+Zp5MDQ33y6HeBWs8fXe6aL8C+dPNOtH4az4G/+nvsq kddFHuwS9zA3CgKYqn/C5DQ8KPbzIMQ0HqjoWNiJzD6e9YggcuKlbuqkN10EQw92yrRwODvHz0a9 R1riqvw/+t/pqAyy0VcNtsmudUqMvtONSkV7VjW6Kn5dsFnIfmPNVSC0x1RlBJA7BCK1li8lsIk2 HUDMdGxtGcCNQcHi80z0Eojuw1VsoZEjeXcLdi+xYcLaGNwiNv+PAJ81NfqKWIHF1pWJKgFEDafK sq6LzUdCCG9zkSWkVrWpkiM4oBFDsFAnNeQ3PBBVB1RBzWhPzcCzFULD8wqSiwd0WHoXvSO0olmt lusFcU13LNhduMjhnp2jdWG8V4e+7hDafJ+m+MM4WgqJitYLogtTStwWk/Jq2hBHUy+zh9fnjms7 dgp6vFswUjH7kwY4+rByi9WXQVDO3TmrDWxjj6/6mPDz6f7ux+tppGzSWI5AFUEIy+4RTAB0ejBA lPsu2ITcy8hrPgBj4Decv6znkh3KIHZvOVlwvLNWcT7Uw9HAS2tBeODMSczbhRMKD7vMt9CnmFj6 pUZuQqaRsmkYas7KArVVU/8ceHTIIvcAAKm9DuH0pHqENS/xKY3VIGoW3QkFdkd67wJpsdhUGuje pNIbTlowsAgaisZspkHGUyQJKyiXY8wUozPd5DBN6DielgC9K41tkIOS2e9H5jAMG7rhThpKE+F8 Om/asGRvh4T7LLul61+5E3ltLhF1EmeWgqhIy6YhSjwMyPpqKmeemB7QXdJCYrQIzigMh+FPwco2 SbkwNFGGcr0aTwWBzZXpdD02b65oytQIQQL7UxaVbGvgzOcMY7Ob6KAqi65qXI+Nz2WXBYuruWG9 h3KyWBm/pWWFmKctvszw+sCtlWEcGXOkPJQiN/euYGqmYIsiWAUzV5XSdFg3poYXoSOm0VYEBL2r Y2SiWayW3CXDTmB9FTQLpzywcdvVeldGsnF4UTQZj2dEvaItHl5rs5yMnbVDU70xDmduC1/sPtOe mb5v6tPPu9dR8vz69v3HV5WO8vXL3XfYQM7oFk+o5cHGcv/4Df9rZr1uJfFW/D8KMz4+vJIn0JtQ co40MJKON2a4hPo9mANdlqgqCnALuDWjXqJgx30jCO4PlQZFZQfGKU5Vy8brONiJjchFK/hk4mR5 0UZ/IJPexHSmocpFQ8KoK5GAfQJKm4kmTyJu1TNk/VSUfICdPFfb1aey2Y1+g67/6z+jt7tvp/+M gvAdzLLfXTVAmpGKu0rTmDw5ZoDxIEdjQntqwO1Zqs0B2ueCpGJV9LTYbi0TRtElhgoKeZsHjgqk 3rfu5xpZ2vWjZaK71deWOOC6HdZJ/JvjSCG99DTZwD9u+9UjnOtwYKvQA2meOWlWVQ6VnX0Z1jtb taXFUSWq5E8A1SzasZOYm7KGpmU0DfUuKxYBSTpA2AwZ1HlVN4WM9Ndqdg0y4TtmTXZVQ5kN9+0D 45z/78e3LyD//E7G8ej57g2sv9EjptL94+7eWKpUEYJESSpSVmww2VVaZn0Sg7HzCBMMrMhBdBAW 6aaozAuAqogEdqbJYtpYZIGH932TziOCLJmkU86iUTwVnqNnO7zxvd0V9z9e316+jlR2d7cbyhAm OF02sNAbSc6xdCOamT0+m8xKGq8Pr5Li3cvz0z92e0ggBT4eZOFiNvauqUomKxPWoamYuVwtZxMS 0a3oeNjgL/Kiw0hJVJ/s3JvkSP6Pu6enz3f3f43ej55Of97dM94LVcygMvTbCGtLZWw6disWUP+2 fbcdtVMNHayqjq2WRjyiTWRdCZq7qG9EmPVZYjme2ezQn+1TFRKbZ8W9cHdwhvm6tmCP4Q8S7G3J 6fSGeDBsS20SdP4k0nwHTC6FCRdlrbKJkrUIePscwcpK834XUJXdTCgyF6XcFZRY7xJ1sHVIMGeL tftgMdjD/Nl+pj28jsSZH9FruKFykfsKCzxxGWGmrq+ZnyuQEDMHQyhkqfNomiWhMsEX9Cmq6OAN 9qVVxEBvb9hgVlNC1nyRmJbCW2xSeDtCJWvmKw33VmWYvciqQwfI+AqPU3Ed3fq46LmvPXUPl7ro IKg5IK1GnfMDmqWr7H9M4QMwMDEiAyjI8hwiDVM1JlbSDPg+1ObNvRXazxv1talaWBmt7bgC/e6w KRnPQLyXViI1DSsWRdFocrWejX6LH7+fjvDnd1cDjpMqwuj+87v1FKyNHN0OjJxt3JldSBJFcLEh g69BRZ0Pt0/OVDUa3I5cUbwF/budTMcTlzieu0RyPayjBeYhbE8rsvX4508fnU6BvuwE5oy30fjo dKyNf/vJnuW5UITYLzr+iKZPRDL6SDyPkKQfHcqMSCgpyl2Cvc/1ZBWuvNlXJNdXx1NkDGKcLI4X uKuj/QKEPeMu5jlSU28NVV+/p4oKW8B+g47c7F/KTX1NBi0UD+rtxnRkdQNC7nN+1bAFk7BeLmE+ e6pS7Ol8atfV070aBRGqgkOXoJbj9u212A5GUSIuhOmjAKiGEUx29usG9i6ySwQVi+ssQ0IWoKER K1jdeNFfDHfi/fb98fOPt9NDHxkqjNy4rrK5mZO7kvATlEqMx1UVcNolSmCkxBCzaDBkJTZMMKNi RVXIJtTtQW02sNfI2EIMQIbjpu3pYOcnNxo+iHdzd4JZvZxfjS9UnR1Wq2gxXozdyrMkqAo8V0V8 IC8WEZFaz5bLfyFCwyhZsdVyPefeXLe38cQe91K/BH66CcSKAUPCuyZ1BJp0lrhMmcnAj1pkcvn3 IxJZaF8IQ5EDaj3wURxksLxqml8KmCb1+bLCv/wQDM9evcM816wiEIbEsA6juOEMS3kdm0nPk9JM z46paSq8wkU+jTMVeqXCRJvo5+CM1t0tzeWkCEbIrDwCxSw6jUJMvblFqwlYrILTRCr0ySglHjwj WZKM8Dn/ZVKRhXbJxvEHWj8+5s0eP17hF9AntBuvgM70g0d47JvBYjKfTdBBYL4cUJcNThiLuJqt VhOXumRENe6A1fVBEohQUNlApUu1iKEAhVA32hypJChTDBj2vGva1J7X1NElzVHc0npS0LmjejKe TAK7rkxUhyhNPQX23Ml4S0vEdSlKXZpeq+w6BkY98VWEIjLKElpkLrrs9laJeQNlIRyaHhLPBrwa Xznsjnnj1tWvc1ZVVZRH6Dfly4H3uuZeWa1rvpbJOpqMGw8sI9jtMKdAIfI+Hparq9V0epFfB6uJ r6/V87OVNRWRuFhyxDUl9sstIXZnZ1tYJKYV/m26qtR9ngPB1VFEEpVSxNb63z9XmTavfi6pN8L0 5mhqgA6aRMODmIwAvjIT00YRuxgwk7RL0EsfuQXones8ndVNIhkEMGsTT6wYihRBHfkynatiy5vZ eLLmvoaOvRovZlZb6t0+D89hAEgbZT+e3h6/PZ1+0qinrotbne6V1t3RVTf4W9hLaWdKGjWsCkhF M8ywPFw3LwPpDUEFXtuUATlxYOQHcYIxWpZECYSf7UbiDsCFTSEXNumUAAEh0YZhRlpWlpaUen37 SjQwCsFfFgYOKaGm7S5sCFOswzl0MnjK6VpTJ49ME+5NZboL+r7fvby+vXt9fDiN9nIzHNXhM6fT Q3fbHzk9UI14uPuGkNuOC+WoYZ6GuvE35h8NC0xkmcGiybSECFGvH3rlLhlOu/m115NPi83YC/Cm TK8Y+NofJDLgTnBNGbWtnofQZlXSjMbH0ypBhldThltq/KGvlilTTo/smeR2fJVI0Gl8r9Vt3L94 MYUQXJtArITbb9s8uxJUASU8vZd6mOZhs8kwnS0mvfbIf7oNheRZSqGM8tzw3x/NgNxdaKZ4wF+d u+w8Ih0NDQFuTJCtJo9VTFw5pcA37Fjmzf9O5+8VoqnxYfYRduQu73Q8hgWAvEdjzLcyuBqP68Jo RiwqXDGMHTtrQKUw7DP46mddcKVpJuIVJs+GhmouBwLRK54yNHOAwy/0NJpKAJVQP8F4K21SOinO eSO+Imn05e77g7p36cb1qkd2cUADxnuqWrZtujhkcZXUn2y6LKMojEVj0xP4fx7RPLOac1ws1jxA pOZDl31kzceu4JLoGZomqRMtP2TOxEmev/14c+M9zsZDXu5daM1d34nJ+2LkBjBEPHLaVmQRDZnt KW0u5/OV2daBk3LHywM3yvaT8fWEfTLOVnbMWqcWcM0fvO9ch+i3g6lzd49bmnO909pND9w4gTLZ rEFNrm+NRUYfxHqJXdzpdG7ElqbqajxidOA1Yzew5PT98e7Jnd04KGD5qDjrwPQydYzVlMbQDUTY W8sqUhcj+7tyvNxkMZ+PRXsQQKIxMoZQjFvcNc8DkixS4sU02byH35TIq3av7oPOOG4FXZlk0SWR qIFVPqSowSY/E/mtCzbAigq1BLQHDxiWKaquItNoTdr/tcrbUt34mlVJ/kySlMJ520kh9XS1apwm 4D3cswdMBwu/PL/DR6AkNdfUfsOsHV0J2AOYzNlfPz1ANIjGlKDMjzJzaDKJk4MrqskXJheYXnnD ar89f7JI5JIGz9s8tOr8RYAxubhiC+g4ffMuDWMXdfixFtvLk6oTRCGnNwwexoequex8C6bQRuxD TCX3YTKZT89XJhlJ31h1xnwp+RZRtrcUUQUc7ZI8rgf6BSdOZ1Ylv9l27FimbVpe7mYlAxs6GLLd i7GlnCW4QbbnfB41CgAi2SYBLPAVU6or9OuCZVmF7pcBRG//4RfLjlfPULBtvu4dhNh5PdxtJFuV VU8W1FVqOVY6Vq7jMENRkZW6UlC12CR2aIPbIBWhJz49KxqhLbOU1V0UH3HjCcocKsY6PzoZ/kRy O1VumQnbIg3jBPOr1bc8tbsV5QxR3m7N9S8vPhUZOUJQyBWw8uWcKb07BMwpWte1GFblgzvDFoGh mNd8ogXF8oCilCWPkdddeHHeMCmzpN3BEJMkFIqqgJhoLJWmY1y9xrRgOToxJBkmZGpXora8Y8EG dSo5mTiPYtZsn/gRkcLDYms3BdHVNW7ZmbxxGkFsviPYjWCF8paUKMsUnf6cYzY66IttxsnS4Zq/ 7IWWqX3kit+3oiMUBVFBgWJ/Zf0UCeBPSSpVpIQ7dOo4uHVq5wvzmGL2VhM/Kw3BfH8o6oKDt0Wp vg6DdKgxnNbO/94XKeurq0/ldObZ3GHnSm8t+Jaepm7eXXhG35Q8g4M5toWxrKnRh296L2E7Lopa wwu5phw00nG2kWNS7KJNAasmhUhHso2aoGigl5Lrh0jU7l/tLT47ilXl6iI81wLYjDca+0AlDohy MxlSV6i10p+plr+5Z6R1MLsaL9gp0cuUgVjPZ/y9JSrzk/uOe4kkx62Ia0QVcbEhyA0j+qj1YJY2 QZmG5hS42Ju06g5Dy8ZpNCRkB5c0TAzx9OfL98e3L19frZFJt8UmsQYeiWUQc0RhNtkqeKhssK8R jeg8IToX/ggaB/QvL69vF4HndKXJZH41t1sCxMUVQ2xsYhYu5wt75IC6mkz80yJx/AYm07ofQpgY Ej7zcnN1a2DqGbL8kGCCta1KvW4OZSLn8/XcIS6uxg5tvXC+lUPC3d/oOKWKqj2vHv+8vp2+jj4j hlQHDPLbVximp39Gp6+fTw/o5n/fSb0DIxARQ36nAxbg2uZ+yqB2JdtcobNRY89iylQc/Fz3doMt YHrukBdl0WFKSW7j1MqkM/lpAGkzZBkFrqNMf6wGrcD3kJQGnwcNFzF41fUVH1Cjhy6rWVxzZFLk zujn/1F2Jc2N40r6r+g00R0zLxoLQYKHOVAkJatNSiyRkuW6KDQu92vHVFkVtqunZ379YOGCJUHV O9nKL4klASQSQCIhZolXYTYL6Dc9ki79SQvgQ6FKpW+XBzPvsl0r7CZ/b3D38afWRX0+Rr8wQ24G R7zVOf2GVaT+YiGEyHuVh62rm7Q3vnuxcEKkmgrqZOXIf2hdHeYVmJoXNGW0ZUGZAlUNVtADSK43 zUYB7n2ZBj6CaoVdCB/igKuIprFj/zZAqDitaJt28fT1Rd9t9EK7is/EykVeS7iXm2LWoeUIqa1G N7ceA3qVz9SPtbE8/5T3VC4f1zd/WugaUdrr039bG0nDMa4Hjnm5E2wfoGYAzuqxDjMC8WarDQqf X87Lq4P4zN7ilCmJ/+AsLED3L69IQ1GylibE8jQdkVNDEHRyPzAUWYpi8NM6bwhtEQd70MAkFoLr wN7SyHLCDMEKamTp6tU8h1gxJElMAoGKe6bgk4ZjKqN/T+uG1hlY7gn2L0HtRed6v7wvvr+8Pn28 fYVUVYjFz6ESJdhm6ww6npqqK8zwzG/ovI2SKkUhwJiPZP0sX6+eoGK2qOsmOqwLw+ObWbuVM4MN n2z2n/rTVWOdJjuk65RhfHduH9tVa6el4tlaByoD8XyE/D0V7MWFUlTZHyia1gs67s23y/fvwpBQ xfKMPvVdEp1OTmxPHTlN7Ta6xdXxkxxq8ZA1S68Wq07+QRhy1zXrAVgaGt77sj/fVQ+FQ6p2601+ 9MSx5HGbnBxqm9UZK4joJLvlwSux3r2eab7cPkxU5Ie8SGkEnf0reDQoLNnWxXllR06aaa/RZFTU 57+/X16/+O2YFQ1jnLs5aap94NEj28aVuIwg7opX9ysEUcnJk0ZPl/mFJKIWgdQVSU8FCqqQxC2A WDJxJ5izonfNJiccO2rRsD8cIerBsip84drpKkGSYF9eFglixJW+oGJOuFdIOcUw6JqEQtXbR50Z DVSRXcNYd/6GphH1iDyhUNsUoZuFY9sFZxQt3JwynsLzUi/9NmYEwzPkxJG67WNxfKpPHHp7UQ+3 mlOG/FFY8zSNwEYHGncMyT47opYdP/lSVM8qSLc5DO+HDEyl5gJvbCuefZFTgk+mEgCKpIp6fHn7 +CGWITNqPFuv9+U6s5ZTulV3+f2hMXMBU5sq8ABNPGovVQXxsjbfDXJvcs1/60ynLiL/7axzEJOj 6nKS2teHTLjuYkoobA4ZbEJKh0rK6Sc4VWFu1MifFnx03IqGdoNLFei43hXmuZD+zMZM35KyNsGZ qrSHpqkgV2InJpT6eT5urEMeTexXgVBEwq2OrAA4QPThf4okwoYfrEXnEL3GiFguJjYEKU2bIw6l mgYAGswOJ0ng3HLkSUkEzQkTR5ecMBQXSQBOzAIbggahxRGTQKpgGCYFMDA7MWxnq9DmYlKAZXSS AQ9lWLptt99BHotTItJBAyhXd2qwT1anI11ZN1CuRRuaoyYOLEo8Uxw9//r5bti9sM2WUK4rMZMj Bg1gk4OT1dpPdpUwmrAWALq2Kw9dZt0NH8B1xTC3XS9GgCAQSGKUgWQCVanfioNOcAaWu81djCnQ oTbLOiuBIgh6U54AescTn/p7HoElE6prj8mNVpZx7bM1fA7fcwzrSj9nNZdEQBfQAFDWHrAPeSww BYezhkIOECNPhBm8D27yEDyn/xQHAdSCAiJw9CsoviFoxTNfOmE7YpLMFE4yxCgGC6Ew8DaFxRED E4YEUqC1BJ3ihIItIkO1OfoB5qE3ihTHESBtBbBwzukNMYlywz2pzhuKZvVal8e2X/n46T4ROoPO dZ7aPOSZqAlMhTtTnczVTcBAC1Y1B/SLoFI4Cz47BGpIzVR1CmaRgspH0OcFJWxQCkpZQYEjUJtn rg5NLlZvMdgDJBTNDrNtl5/l7dd608du8tLY5p0YSXM1lBwJ3MICSjiCjHyTI0WgeLaNurQ5Kx61 rE/BFUjtnP6Pn9SODw1gyZE4hj5VEBi0cYxrKW9KrkroYzHVnfPVCnx3Y+TZts1hf940bQPM8Js9 ZYQA9o8A+vtjfq77pmVOfFCXpa1ijik4EAhDsCjUNJVA8XoNDsoxMGX2qh0w87XaRkANBUJQAlkW GmHwN0I3crgENIoiODUecw7qxEZUmM33xjpO4gh87XxkOZVi7gJH6ycWtb9jxLP5yb9r2ghF4MrZ YGE0TlIol0NepCjwXqHJQ27wnIqmxLOl+FyJigIybpedFYNyIN91GFQiArgx+woOCnmJGHgOdBDv 9H609+tSGAPAgCjrHEcInGkERPDslCk44gcd0sevQd3mUVLfqGbPlM5JXTMtqbJy/CTyOxaT+WWq 4qHQjtrI0XVtwgIVqYU1M6tec0x4weHlfJs4+58jJITHb3SDzTZzjupAlhtTimCh5EZOXZ7AriQj w12ds/kB1NUNnp0aFQNgTik6ID1Bj+DeJZF5Q7BuGAa79XGTxTwGw4wOHB22nrie6JzAeyUPnCYJ hbyzTA6OgQ0ACaS4CKWaEjjCvMUD7/lZLHMdWDBUYlbpgAlaQ/EWWNMLSIy7u1Wg5AIr7+Z2C/x9 bGW2ZeC9T8+/daB4vhkjsN09ZI+7A+yJMnJpr1/l6Ng/8gmFnhvZd025HR8KRR48HHCqrcGHy8fT n1+u/1w0b88fL9+erz8+FuvrX89vr1dzp3D8WD7JrVM+r3dHoKo2gxBkdZtp6wQcCvE10mt5ruYG f//isZm+XeHQ80TtbtWZbTn1GxMwsgLbrj9pGvlBJr3BdZsnJiBPz6FPVoACW4B+LFvGWMwz+Nqy 6upgQv19lJkyfN5s9tJBCfp6eC54vpoPc8nvt6yLMQeGmFyry8tCUMbDSdlcylm1qROM8PmhsO8r xBShsl1KOvCZPuXrPxqyE6MuI0NKw+HVP/7r8v78Zep2+eXti/2UcJMDmqPoLMe9VpSk2bXtZunc 12qhl8eXeZ2Z7AbZ/qVjVatXxYHELY5QNjra9S53Eh5eODUroYB2VWXtHcy9rrP8nNdbrxADDrtt aJY+zODkhv3Hj9cn9Z5k8IW2VeFpZknL8o6nEQPfB5RwSxNz5h1o5p5eU2/y4TDaSz7rCE+QF5XS ZpKO+Wd5TQq+yTDx3FV5kdulkcGYUmSfiip6kbIE1w/QtROVoHS6Ojk1UzR7P1XSXU+fieZFc5Fi lm4+GF7GjTi9gYN7SiNqbh5NRPscUraKVKgBv1P5mdLJJHC9YWRgdl6+M9pIhc2eHsYBY1XC66wr H3b7+/a8bvMgl1gZ0VPwrqXiaEhMUrdsd5tY2KZKItCpYyd9ZNtNbhmnkiryEYoP+KRqBJhbUUgk CX46QJZAh9Jq6s4t2uZTGxPwXUQBKq+LvN7ZgYwE4PpdSBrnTc0RgojMzVWR44C/n+7cJxwxcOu0 h9Vk46ar6Qw6u5tgHrsDSVJTClB5RIEseIpmCsZTwrykeGruyE9E7hC7mMbIp9kLXUUttyuCl3W4 swqT6RAEm3zFxHCBlvLq29EPwyR2DFFPHvucdYyHB97+niNoC01h2tKws2nL3H03UlI3URKfIKBm CAMkNySWpN8/ctGr7GCryxNDN6aHViwdwfcRJTa4Ohi0Tr6FSyk7nbtW2GOOLh/dk6w8pH8Sh32E +iSreqY5s6rOwCtuTRtjxIyWVM5GyDx/15TEm8A0ncMuPRNDGtaqvetTaLDISnluWQbAwE0WI2EO FpnHIX02OFqBn6XYm4hcJqHeKLxp0j1UEaIz/UgwxCjyGYwMHipMEgp08aqmjDrqqXc7c4jKTcyt 3fHEQcc6lbRxHGybRPvN5902m5nqxBImQp4o5cIGz82Q4yLfo/lmj/Zg8zTO7q7WboRgmFKTxfY/ tD8mXu9pOzlDQ3tIvRZYOfLu/Vz/071FFzKGxxXW4G9llmAkBmM+Txw6tOlxV3XZuoQTkTebD/q2 fnuoA9eiJ/bxSYSf/UBM4WtnpMFc0iiYrUxWMJpyuBba/J//3FkNGIhjYU+IYbP7mNttLMjtN0ar hRxYbRbbcrUwHNiRtZhIwE/UYZqX2SrbMspMw9rBuHkAPWHufYgJ2bRVShG8prC4YpJgaLE3MQEu KgYoZs8Ew2VQGHywZDLxBDR4bRYK9g01K4FSq7RCDkFxEkOQNHMZD0GeiWuhPI4gXwyHJwbbcTJT A2mn7JYce6P2p7gg+8+t6ZwUTNvcwTgCh77GCJxm3mBhtwTGYd2wCEMHQyYL5wxsaonYV2BN7FOS Bvy3DC5h7QeuBttMAbdem4ndkLyz3LARe9ExYfLyQQSusQyeFT+hQN9tVofP8hnH+QSOQgXFoRQk yH8igRSs3D5rm2W53z82m3O3O+R3bb4v5X5i1222j+AX7kLFgIRBAZdy30Whi9wmk1xUzdZk39VH AlakJXWToYA2lGB7Yx5oWc2TONDOw3LpRg3aas3cR8F8JpEUirNARo+ck+iWKaG4EjgGx8Ql3QKw GB232dS66CfYCA34AtpsQqHMN6OxzIIxTEFVZqx1YMxZ0BgmoLz0N1sm1xi3kAiFEG2U90jurdcl ZbvrNquNdS9ZRmdVmPS/t8IwqiTuEmqacZp9Yp0sdRPoQx7Di7aecVnsjypWRVtWZe4Hk6yfv7xc BlNdPv1p7lrrQme1em/VLbdGs20m37PqjiEGGSerE6Z4mGOfyXsxAbAt9iFofNI1gMsr7JYMx5t1 XpUNUTxd34C3V4+botzZz8H30tkpV3crUlJxXE67/VamVuL9TZ4vz9eoenn98ffi+l2um97dXI9R ZXSNiWYvGQ26bPVStHpjXYHXDFlxDC6xNIdeXtWbrZostmvTFV0lv3rYDvdPxgtEfiUskY5xRqYq Oj11kqMUn92lHRF6ifXP4/zz5ePyddEdfTnKBpEP25jikDT4SRDFnZ2EqLJGRoQ2Xp6XUPG4zeTu vpJQ6yapQ8+0pbrILqz5VnqdBw4iBfuhKqHw2eNLJ16dzEHrR5zoB0a+Gfo9rLvVgBuqF+oIy8OK OLptogN9UtHrst6Zno3GF3VWVTvruEYkMg1i4Nkjg02kS+QdJ+A5Md1rR4ZSxfGq4GBiUuRujmZa UtvMlcgqutIvc0wytxCTarXhhbnFL+Ozc78OodutdpUpySfriu44MzSs+8GadHl9evn69fJmvkWq 4OzHl5erUIJPV3mr8D8W39+uT8/v7zI4xEWk+e3FfoBAC7k7ZgfrOKQnF1kSUU9HCXLKI+S3VVdm cYQZvNtosIC3a/vmbhvqbMBpIG8pBbfcB5hR++LDRK8ogS/D9kWqjpSgbJMTCp2Ea6ZDkWEaeaIQ ZoN2oPaoNPVUeEOStm5OfiHb3fbxvOxWYjV1ArvBzzWqjtdQtCOj2dP6nLIsZu6O/BDGwfxymsNm UhNzjrwsNSNbzQGZkBMemfFxJ3Js+5ZbgLStZtPk9nUjC5j9eNlx7LWcINrxrkZyDK2pNXrfIkwS N6m64rGoQuwBomUSbB5gmGSgz6idGTE6g/l3x4bhCPpSAoFT45EjQQhebfQcD4Qj6MLzAKep6QBp UGOI6tf72JyE4QzoATGBp8Q+vjH6qhwNF2uwuKpOCTTxelx+ImzQaabxA46I59eZtP02V2QOKCc1 OsA7mSYe+JDOtL3CU68BJJmZficWWY4LKKuU8jSsGLN7zsH+eddyzxPdkuwoRUOyL9+Eavvr+dvz 68dCxkEDlM6hka+IU3C71eTorxVZWfrJT3Pmb5rl6Sp4hG6VBx2BEkglmjBy14Z1dTAxHU2+2C8+ frwKS9fLQRoq8mIEdi8sDNF9nU+1SfDy/vQsrIHX56sMAfj89buRtNsqCbXd4PthxUgSOPPUDKFD xF4knYrQVbhKYzBjwgXUlb98e367iG9exZzmPwTQD1H53Jb5Hqgm320Y85TKphYiBOYPRYcdzScG cH9xgpNAuvPiq08UvPg4wQwY6LsjIlngbGTgIHF0i4GFc5Yw99SvooLlYbdyEwzQeZEBewpyd+zv UXq8CUz1LC5JTQFqQuzLDiMdPi0Z4TgCMk7A4iQJZArvjlzYDLOCSm9JMo1nZ+ndEVM+01ePbRyT yC1w3aU1QoBQFEChaw0TjjH8YYPAR0tHvEPIm3UkGWPPohbkI/LnKEX2lyKSDBaq3SOK5LM7wWJt d7stworHS5XVu8pd7J73RZbXxGPe/86irVfelt3HWQZSvWlZUKMyX3s2iaCzZbZyyXkOLJPLjpf3 sF0PK1eldytBg7Ybhqmf8ZmlWnafUH8kFg9pAmleSY/DnVXAHCXnY269CGuVT6+sv17e/wzNEFkh z8A8+UpPkxgYo4IeRzEoMzsbPWk3G3dqnWZlF3M2LA/b6TXA/Mf7x/Xby/89y90fNZUDOz3qCxnA tAlEGDTZxHocq5dDABcPm40Ty8XVBU2z2M8gwUE05dz25TPhMmNJDPqfeFzBROqOINgvxmGKA/VT GA1i+towjGEaqPgn+VprIL9TTpDji2OhDD5bspkihELVOVUiBdYG5aXwBN4mtBjzKGo5ePvRYpMm aczmuofl8WigqxwhW0N7KDTpeEw02MF09rcSKXtpBoohrL5bDVJzvm9jkQpwctMX5ZClCLyybQ9r glmwq2+6FIecyw22vVDN4MNldi+gCO9Xge5b4wILydpbJR7HUlQYjrcGaTJTxb0/L+SW/+rt+voh Phm3KJU32fuHWKtf3r4sfnm/fIi1wcvH86+LPwxWa4+07ZaIp5AV26P2hWVNPKIU/e3u4ytywKLu 8Rhj9PcNBvgEXJ0wiKEHqioFcl60VF8OhWTxJJ8WXPz74uP5TawbP+RLLrZUjLSK/enerd2gqXNS QB72qvwbeySrYm05jxLipqbJlm7QRzLH5T/aYMMZCeQnElmbWiORUKcEHTVtQUn6XIk2pbFbKE0O dgV2hyMCdAViRswcOg2COg1JU6DTyE4x1ydEX4P0R98oXG9HOC2FkOkfNLCSGNvEY9niU+p+3yuL AnuV0JCWvZ+rSP/k8mf+8NGfxxAxgdrTFaToZSc3n1bMiQ6fGA1e+WVE1wx7Da8llmCwO3aLX4Jj xm6qRtgqMy0p4dDoFTUlCSAoQXT6ruqG1CGKAVu4larEcpiHlYmuc8CLRJ10nroYNiX6UcWAUUWZ 0y2KzVI2Q72EyblHTiQZpDYeNfU7qK6VMyCzVYrc/lrmoF6ntqWom6EgYkqEIniMcITt15kksO8q wsFl4oS6jSs1KHcT+lxgMdnKc+Kd/36k7KJ5r96D2lKOc+4OEC0rgkEq9UVDlIOb3tbsWpHn9vr2 8eciE2vAl6fL62/317fny+uimwbLb7madIruGCyZ6GUEIWc47/asjx9gyUGSccBVSeLLXCzHwFjR ajysi45SN6ueyrzRo+kxfLynOURbhTJToxSlTjc8cEYIRDsLEYH0Y1S5JVNJY19XbdriX1FWacB5 uh9afGboSyVK0PRcjczYnrT/7V8sTZfLe43hllXmQmTbrpYLh5HN4vr69X97o/G3pqrcvBrwgvk0 u4nKi7kAeXPEBNrbsXoJX+aDm8mwtlcPrCt7xjOuaHp6/N3rcdvlHYEdwkc4ZJsIsCHeeFFUaPEi QelaHiHHWlNEVyNooqMQ5IKf+oOm5esK2qMdUXfmzrqlsFypL+4ii2MWtpU3J8IQg+4K98bwXpgE roKX8wB1KnK32x9amrn5Z22+6wi8Q6I+K6tyW3r9IL9++3Z9XWxEN3/74/L0vPil3DJECP519umk YR5BgIHoPnxpL5G8lZAqRne9fn1ffMgzxr+ev16/L16f/yc8+opDXT+eV/CjjyGnEJXI+u3y/c+X p3foNdnjOpPviEEtZMbpFz/0EyyF/WKfpBeN0IOn4V0zOKU+tHBdex8reltWK+lQE/j4vm7717ns Ekn6aglCOl1RtLrtzt2u2VW79eN5X65atwQr5VNX1tIRdAO+cie55OtwZ7E0LqS7Ti2fRXLya/rj U4O2Luuzim8QKHoIk9+1d9LpaUTHlwH6Q9OF0FrwOZ9MQD8zJ6yx2E5YP4pUYTOC20Dfnhq1k5fy kyshC3aPJIyA+6GyaWPk/xm7suY2cl39V1R5uDWnanKjfXmYh1Y3JTHqzU1KlvzS5TiKoxrHdnmp M7m//gLsjQsoz8M4I+Dj2iAJkiBQJO6xreqJLGGREQBNh+rI/ZpZIrmHbjQpRRgU6AlkEyWc4MT7 yEqQB6nyL1MvVK/PD7e/e/nt4+nBqqcCwliBUlkhQFZMTxcaROxEedPvg9wlk3xSpqCETxb0XVCX apmxcsPxZclwtiD37gZU7gf9wfUuKdN4SlcDm3oxm+p0meiNksU8CsptNJrIgTETt4gV4weellt0 e8KT4TIwNkA67Bik63J1hLV6OI74cBqM+hEF5Rjcdov/LObzQUi3iadpFmMEwP5scRN6tL4W/TXi ZSyh5IT17fNWAr7l6TriIo+DI7S9v5hF9rmX28csiLDWsdxCCZvRYDylAoKTCaBGmwj0/QXd1DTb B4hU0uM7eqDQ0+nMY+7WwZMglRzjJQar/mR2zSbUkWUHz2KesEMZhxH+b7qDT59R3zAruMCw6psy k/hAchGQKBHhfyA6cjiZz8rJSFJjEv8GIsMgs/v9YdBf9Ufj1NAVWqTn6QsNPUYchk2RTGeDxeAD CFqwkJAsXWZlsQTZikYkQgSJ2IHki2k0mEYfQNhoEwxpMdBA09HX/oE8qffAk4+KRUi9ol+CzedB v4Sf48mQrcyrYxofBOSuxMVmK8iQriXj26wcj673q8HaUyIoHHkZX4EgFQNxII/dHbToj2b7WXTd Jz99CxqP5CBm3rZyCRIAA0jI2eyjcg0sOZkq888gPIyH42CbUwgZoZkqSNu12NDyJotdfKyXmll5 fXVYk2NvzwXoONkBhXtRHXQ6GBjdOYOvc8jz/mQSDutz4dZ03Vgi9eTLgkdrckFpOcYq2+nfy5fz 9/uTqfJCYhUkMBJ0ID8F2EDfog8Y1HbIB2dKN6sndSClVhzKSrWDyRDGeywX04HzxU3u7kCZjioc LLdQQsScpSth6wBjaqD3zSg/oKudNSuX80l/PypXvvUivY69GjcqY7lMR2P6ZEN1exFErMzFfDp0 VuaWNbZECXRD+I/Pp0OHwRf94cElVv6xDSIqFaQoyA1PQXHZhNMRdNagP7SSykxs+DKoLVunw4vc y2lnF7lzuz9NPukmWsFgdVnl44HVOUAW6XQC32nuqGKYJI8GQ9H3eK5CUPXUCqaIID1MR+N/B5zN Pd5QHeDUd15Rq/a1+aen0WoMJpson0/G1naCVLNrYmtMak0b7pjXEwdFmK93ZobJQTiE1ZKaZUD9 YKlUu7jyaseLbXv4tXq5/XXqfXv/8QM2JZG9C4EtZJhEGGWiyxVo6rHfUSfpX7fZBqpNIdF3kEGk O8nBQlb42COOC5iEHEaY5UfILnAYsCdYs2XMzSTiKOi8kEHmhQw9r64lUKusYHydliyNOBkmpCnR eAOETWQr0LtYVOqPRxC8XwdGCEagLYNwG/P1xqwvRjWq97lm1rjBwqpKnq7J7/iziY5LGDBh3/Gi IN3FAy9PhkZZ8Bt6c5XhUlGvElYPhUdQMIe+TcQKj6Jg6wJqtY/PEyGp8w1g7fZMBFZ56IBVxUL2 5ScGkXLbRmdZhb02xVmRbM96HcP3eLBD0B+w4Hu78kjyGgw3/AvlKb5emtGRMzIQE4pSAKrWwZQu RYKFNI5ZCsu4lVfDPgrJr3b0OWIHo1/7dfxLLYYllzxbQsmRx4HumaUlebsA2J4xOrKQYoSzkAcc 7C0/Oy3R4+mo4wdhyGI7KfcKa8oymHm4J8/tscisvEbRyiPZ+yyLsmxgdNdegsoyMmcPUEBgMTB7 tdhao95MEwZFYi8CNQ1WmSAp2T4wGm0ww52QpJ9N7BrTnRtK8TIp1wc5npg2ScBZZ3G04oLyeYhN rRwgmULOcIuRJWbN8QJoeDhQNPWQdB3ZE0HDvSDHFywSkSvwDpRyjKb6YDYwdhLkoqwm8eXt3d8P 5/ufb73/6cVh1Dzpdh5v44FEGAcCg3XvuR6tFjlutNl2PHlSdfytjIb6JXnHsd2WdRzHS2LHugqz pLyO9cBoHZPw8Wow53OPqwYL5bFqMKpORzrqIJozQbeQxmktkbXtVpcqfQ+NnMX5xfKX0XTQn5Gl F+EhTFO6eMubeStiHwhSUwooKwJjs3XlKgNhWjWpVd62FqBoZ2ThzrVLk4PIdqnpCD+lNMidADVg AyMVlSDYU1XKmZ4OEZfegyc+R5oMlBEebolCU3aNvam1F39V48VodUstlTdmIisNkuxiKC+L9a23 YsM+Eb5fygCzucYrpHStBkllnQ5f1bmEU8mCdNQfThaBU6MAw3RQ5wBVaWEyHelLbUed2FQ1zvtO AYpM3dZ23JGbkxE+rCUuzLDKin7BCZLiewdalSt64aQPrVv+xF/7fGJ5fG7IkwM6KU0SUoWpQThN OWlVSGePQ5oWMPWYuypA5czFV6zuc9D4ptFwbvrCqmopRxMy5lclPO1ErFNlGKCHG5sah5OFYeFW fT7bKW4rFpN/nNpwMRqs4tFgQak6OqJaxq0xoSwXvj2cH//+Y/CfHkwFvWK97NUz4TvGFO6J59Md 2lpseDuQen/AD3UUs07+Y40q2G2l28Squxv1tmpofIC+99Ub/S7aHaZ8vdZSRA0Gp4c7J69ty+XL +f7enQ5A2VuvjUlaJ6s4Fk51al4Gc88mkx4ubAe3TtMbZiJJJ9Y6ZMOCQi5ZIL2ZtGuYfwQ00DCn XeUaoCCUfM/J/YGBM/0Im42uw16oz6S6/vz8hiZir723qv87CUtPbz/OD2941fv0+ON83/sDP9Pb 7cv96c0Wr/ZzFEEquKGcm+1Ufom8PZZ7IngYoJRJw6ePlYOUrLCFsO1B0xMG7nQwrgHeTx6bDoGB dfv3+zM2+vXpARSK59Pp7qfxIohGNLly+JvyZZBqGmFHU+MHXeGTzCCK6k78gF1WzBWNw51EGenh HDRmIjfhBU4bKqHmF5BPWRxMp61IE5w639ay43nGjXM1m1eG1J7KQTUV8udTW4QEkno4rqFFkZMN B7okGdyY7DRGIU0nNBYLdCMcBZdro4BQwF4vg0UB4W8MqRYmxquHYxuuR2fZX1ACWj+wQ4Kj9SFx E8oMMiSqjVzgSFBazXxqYrPf+vTydtf/pAOcr4dEFfzcsd0CTu/cHCIbZ36YhqdyVTWXnCpbiGWo ZADQsZptjNTa32Dxjk7apKr8Ah/Mxis/bcvl5IaJEcVh2c3CbnrFOcz7pEvXBmC7CK7pkcBNOJVl xSlDkLpdQS0ROtB85m5y7JA2FGzqc1pbQzbHZD6Zkt4FawQG5llY/jY7Fu61LyeuIxHQiX1OXjuM ct96oYRCTMKR+WimYXERD4aktyITMbyQenip7AMAJlRaFVaV9tmoI4y3kAZnNB158/VEIjEwpLOf tlfHAzmnP6jieEIlNSDXiXXDuBoNty6ZdCTZfL0QnZ+SvoZrhIC93EIPs94wVsloMKIzhSFLmrZr gMl84GaJCYfkB2UJbHUvy2qxBwgdZUGHkNvWDjCf98kvLybJxaxFBHPK3Jks8Um0d7JU1464pipP hi0evfe4kywxucAW+VJjQBCHhh8eo6cWITFpVpxyc23sTrrPM62esVUhuR5u32Dz9evyYgBT4NBw /9zRDR88On1CjEqcSueTchUkPD56puTp3OOjXIfQbk80yGxIhkfSEeM5KaTImn+cmJztIjEck66k WoAVLUmn05OVkNvBTAaX5t9kPJfU10H6iGwjckg/Ki1AJNPhmJCt5dXYOoxo5SqfhKT9UANAqey7 OToebmv6zTG9Sto4hU+Pn3HP+MFoqmPrXRSOlYT/uzyztb617SbORurqQxWNBxGi8kNxcew0dyB6 n0UYGgz1R9fdIrCWu5XrHlQc0xBvybWDXXGtqB2hSlsm2Z45N/41r7FOF1Z1kAc7/Jz2A2VVqt1P 7g61PVJXDprOx6G229tE4/Fs3ndOS2q6salI1vgMgnO886DPB8JoSKnreVAoZ8N5bQHdkivjS8Xs Yn/W5CJT/TkxydXBbZnATjnQzX5q6y8MOtrwPn3S7s+qdpfLuMxWK7LyOoQ6fNT4VlTAplltVtWG 1++NFNimC0z8jSGpdg6xiklo5FxR6x2XN/syT1hCJF2iD1WygU01EjNGhUZujFdKYnh0+Cj3+MBU 0Rexlc6wSs53L0+vTz/eepvfz6eXz/ve/fvp9c14RtI6+LoMbVqzLthxuTNds8pgzVMyKmk3C1iU Mue5Pqh3xQqDvDQ3fvptDYYUDWNNOYQf+OADunu7y10gRmUF4dcdf6tBaGXS0vRAD53UGuzFmFwa NZDgk9F44MkBmRP6GaCJGlCrqAkZj/2FeO4PNVAYhWzWp/YlFsiKwqFz1YOvMqSuAfXqVG7+yQ4n oztp/H34QW/XUZbIzGsn2Yk+E2js7VC3/kNKZWq35FKU10Uew1wYp8P5Jg9NmOArmHgoGgicOZts rkEXTqGVW2dEhg9Pd3/3xNP7CxWMVJ1zw0zalVJRYNJemkWLIrTbCDOItE/KEx4WGZquwniT03F1 Tte8bKOqol0xBjxeZu5T0OL06+nthP5ridWfJZlkUFv9+KilgWCpE93OX62bVVXE86/XeyL3PBH6 gRf+VMuHTdPPVStKuzR0ZRtltPMQXuxe86K1dIbuefyuHEF3RocVIwt7f4jfr2+nX73ssRf+PD// B8+I784/zndaQOnq6dSvh6d7IIsnU5FrnksR7MqM4uXp9vvd0y9fQpLftSVU0q4ugGT7Qio95F9W L6fT693tw6l39fTCr3zZfwStrhb+Nzn4MnB4iske1XP1+Px2qrjL9/MD3kW03efeGXPJ9Js6/Kka CITOyX9b7r8vQVXo6v32AXrR280kX1v/qlkgpja0WEfZbpEP54fz4z9WMTWyjq+9D3d6S6gU7Q3F vxLBrp45Pqrcrwp2RVSUHWTY3Rexf97unh694dErsHUFVRNhuRyN9N1eR28ClzqM+sa1rWnNyWXq 9XNcQwo5X8xGtGJUQ0QymfSpb1Pz0QaDbAkw4OPB35G+g0M/+oWxjedkQOpUGrci8BP2l1QEbeRw PYY4EsQ1l+FG6g9SkQzLyjrP0rWds8yymOwDlYgVtGquUuI9k21/0miWCSsrXa9y8Juw2ubblQiE SsEHuksQpK2CLTPSP6GXJCI5R/Ssisnboh3562T52r1Y4MWVeq/qmpkBBxdBvdcC2G6Qt5BN/Ori SltEuAj3eO+gfY5m7Y+5YSbvVEKTkzwIt9ihRKlBEW5Ag0V7CMEkGbvkI86yCBMBXxR+hbp9YcWt Ii2vr41DDMXZDuljAcXEpxGN/UB1dLY59sT7t1c17XQ9vGYpK3hYAltT9jti/RLGYC9DfDucBjjQ hmZKTFEfa4BsF0V119x9fY2NeVJ7Yw0iOCvM+2iDG8R72iIHUSsRo2/feXKF1fQUlIDOGVNNRGZ+ CMrhPE3KjeChh4U9YLKykMWw6RasiJjQBcz8AG2S7JoVoRlHJQmp+haBph1BucZ+An9Xd3kr1IZh mSU7RsG2u5RL557NyCipQv00shM8fn95On/vpCZIoyLjkd68BtMt9ct0H/FENwIFzRs3gPVOvJnM 8Ahha/wO44BbCKnNs9WPtlHZSuVIzdCqAuWWHfWHLMGhPoswaFpxe7N++LO9yK0O0657by+3d+fH e8qxg5D0sX01kuXGZNbdR2TZVGCVr40xEGCEqgD2FjAx+eL/YpoyWRcNONxrG27FtN+J1UDQMtiN 9oqsLbVWc3K0UgmzXR4zyuGTyrpga24aLsEn0ji+dNEqtioJlDJY7QiqdQ60IldoZfwINT10nhyU 17/nh9M/hpuRbujtMEjRerbwPORGvtcQEJm4tyM/MFWwropm1IWziHlSLeQaobJpxlhsHV1F6Anb 9zPN1hJ2RVKX85WE1Lsgst5KdrtNGaLhfS53dFTpTOghwfD4yt4aWit/9XbojGY4at4zfR0H6O1A grIh8NRT0BIlSp5VgaY6LW9YGlYVFaE8BFIaRxMNI88EvsgLaVWrQQkW7gragAsgo9J0YFKT6Lwt TJOzUeWxm+H4X2Q4vpChZVmiaN1sr/XY12U0NH/ZaaGQZBkG4cYKB40mN8DzWHl89bMOfhasWUMf LwsvMJfSrUsza/O4Sqg1aajAFgHNzymYK00N49IHajDuB1Ic6E7QJM3vXiVRdn88/VpFObuQM4z9 vEC7OHOGbdjxDbWn6bhjKtGNIA0qb7KU2V2mNV8XNNyI2OJc0WBxz6DNmX1X02TIY4bnilv6IBrS g65XHHPJ9dsYgwxL19rsUYPLU3VEr37TJYAqYH6qhkQMipqx3HFYWmCPytdpgLOl0UftbVZ3Z1WR SIszxWkMd7tGBG6Slnm1y0hjOoxKuRJj45NVNPMrQmEGIQSCtv+rbjh0QLbHAHBHDw3mhYjje9gS /rkMCOLrQD1njePM2NNoYJ5GjLZL10DoCUW17SNgwmSAz3LdI93bu5/GY2ThzHc1yftiUnFRhA35 66gXAhHWpVc1iT4XWfIl2kdqreyWykZGRLaYTvtG73/NYs4MPfgGYORcuItWzZzTFE4XWF3pZuLL KpBf2AH/ppKu0sqaNRMB6QzK3obg78bCGd015HgpOR7NKD7P8HwYNrJ/fTq/PmF47M+DTxRwJ1dz fSKyC60oRLbvbz/mbY6ptEaIIji2kYpaXJPf82K3VTvw19P796feD6M7uz0rHrSTn686gt/wOCp0 zzpbVqR6lS1T0/ZgYs3X6HMIdvPGBXH1T9PsbpfqVlLXE0V1q49mpSwh110mr7Niq6O0Mlm+sVa/ mqSGDH0JVgE+0OFCTnYdiFlgToZdgzWKb3hXaGdWaJPAvFmIjNJaF7lVjCL4W6nYH7SxwlzQUlM9 cgr8aMTeGEOdMMeiHYYlDEM6ww4yG83M3DuOGSjN4M3JF0QWZOjJeK4fR1scX2Wst1AWj7L4sSDD C8kpC0sLMvbWy9sWPQKGxVl4OIuRL81Ct06y0vj6eTFe+Bs9o+62EQLLDQpVOfemHdChUWzMwKyW sqkxSU1RA7uohkEbPOsI36dr+GO6REeyGwbtVVBH+EZUw1942jjy0D01HFhytc34vCwI2s6kJUFY ghIQpC45ZPholKKnku2KjOAUWSA5mdex4HFM5bYOWHMGb3MKxrbe/kUEhyoG5NvdFpHudMctRovJ ioIWvzVMXpBhqhdRbHpRjRNKwWu0rpSjkJPKgnEiUl1Ln+7eX85vv11TOvP0En+BNn21wyDIzcrU KAqsEByW3FQirIAdlZZQFjtgRVZ29T7JocOvMtqgL5RCefWxWGprwsOW1Z1j1atTGSVMqLsIWfCQ frHQYMmVWxkFKb+2KateZaEOX6qA1+arUwd0gQWqYxzjwz+9zi4KJyaRk+548LgAdCmE4iN124ks ycZnb5u/Pn15/XZ+/PL+enr59fT99Lly1NqqoI2K2vWg/qYoFslfnx5uH7+j7cef+Of7038f//x9 ++sWft1+fz4//vl6++MENT1//xNf6dyjNP357fnHp0rAtqeXx9ODct1zesRj5k7QqoPR06+nl9+9 8+P57Xz7cP6/W+R2UhiGSpnEDVe5DwoYXly6z/lI1A3TZwxFgm4KtyBFqaFXaSz4TE3unrN0A4pF kHtrjo8pK6kxX1eaOQEGD741CH2GS/dRw/Z3cXvzb4/ypqaHrKgOSnRdVRnRmuHiK9pBl381gLPm fDt8+f38huFPX06dN2DtMyownpgEObfzqMlDl870B5Ea0YWKbcjzDXPq1zLcJBvDeFQjutAiXVM0 Ethqv07FvTUJfJXf5rmLBqKbAx7PuVBYc2BKcfOt6W4C8xm3ica30cEyZvahbo1arwbDebKLHUa6 i2miW7z6h/jkO7lhqbFk1xzy1V7+/u3hfPf579Pv3p0Sy3v0y/HbkcZCBE5RkSsSLAwJGgksItOt VyODCWVO0rR5V+zZcDJRQcira8/3t5+nx7fz/1d2NN1t47i/kuMedvvsxE3bwxwoirY11lcoKXZy 0Utdv4xfG6fPdmbn5y/AD4kUISd7aWMAoigSAAESALdP592PK3FQH4EXCv93j/d6nE6v271CxU/n p+CrOM/CueEZ0S2+hHWcXU/KIn2Y3kzIGEorc4ukmvq329lvE3cJnVnZjcqSgbK7D6YpUvGEuCad wo+IwjHnbg1AC6tDduUEcwoePpvKNfE9xZw6BDfIkurXxk8TsFIqHtaSkUGvRgKWdtxDfseyL3UT ziPuv99bNlnihZUjw5exsJ9LCrihvuheU9qbPHanc/gGyW+uiTlCcPiSDalro5StxHU4MRoeTiI0 Xk8ncTIP+Ztsf3R8s3hGwAi6BHhXBWpQukdm8fSayjhy8P6mQI+4HrkpuKe4Ie9htUK3ZNOgtwC8 du/F7sGfp9eU5C4Z5Zx2OusmbKoGYyUqFkRj9UJOv9HesKFYl5/9Gxu13bD//ZcX2dVpHEqoANrW 1Il7xznF2s8fGCCC/BrLWiwT4C2G6wFn6O/YhwINCtgLahPR4YTEImTtufqfmiSWVuwSK1glHk6W kKVXcqOb2JD563VBDpuB9wNgL1Oxd9wH8wbGT+rV1bLK9rEgvu7r7CLLpI90ZaMevaTLXRmC4fmi DhYHb+b15Sp/e/m+O14tdofd0focAbvlVdLyUpJHhPaDZbQYpAy5GFLpagylshSGWtQQEQD/TNBT ERjg57qfji3XanN7+GEWpTox/m0d2ah13VHInNIKLhqk4b68NFsdMVr4HyIUubJHi6gqUjESetap LlaTO/W92Y9FOIb+zK/99yPernN8fTvvD8RKmyaR0VUEXPJQ1BBhFjgb73iJhsRpmb/4uCahUZ3B ebkF1y4N0ZQWQ7hddMG6Th7FH9NLJJdeP7p491/XW64kUbceDnlhSVWoAQ83w7KaCVc7TfVD6bu/ Flk2UWpoqiYaJavLjKbZfJ58a7mQZiNLmAgkt5vlildf2xJr4gIeWxmNUrKv6RpxmvhiMzBHXvFF eU/4OL1Nlixwc6oUOlYCAxDs1lu4iu+OZ0wiAK9E36922j8fns5vx93V9q/d9uf+8Ozm6OLxnLsx KBPXvw7xFeaQ+lixqTG8sB/H4PmAolUMOZt8u+0oBfwRM/nwbmdAGrFqV1V/gELpEvxLZ77ao+8P DJGuejaqcjCehMlWYuatI3wYJe71KErATsOcV2dQbGh1LvDsOnFP7HghYy/QWCaZAAc9i7wCa3pD 1g0U78K1eTKMlQNTHRzRpPbMDz699SlCa563Sd20/lO+QwE/u/RsX7YVBmRTRA90aQyPZMyyUCRM rllNRSJqfJT4Pbz11Lyv9Llb5S6JQr+JOxv9Q0cJK5PVoZoEDoiLzB8Ig3pEzQcLmW+EPWqNPYCC TdaFdflQDLUN4TOSekZSbx7b2E1G0b/bjZ81a6AqGL2kTTlDkrBbesYMHm8Qu4yul8DRl2gqUJNU godBR/zP4HP8se/HAYbFLbPmIYpQgIjTBXC04hbMmsKvTuJAsVVXoCLuME4N2q8SGItBwdpV5sgq q6qCJyDd9wLGSTLvIENFwbph6Rqkygh4Eo9wr7Zcjn3FiH4gawfFdlUnEKdL17W3M0+kEANflzIp gOWWQnp+DGLzIreINvP6oVrFvAo/hM4Dt5V/wZDpZCRyDva6pKrkVotUT5PT5J2rC9Mi8n8Rwpmn JrbTCn/62NbMeS6Rd2j4OO1mZeIVaYuTzPsNP+ZuLliRxCraHVx1Zx4rTPgo3GZF1kXLG1Cj6x4i irvehJordeSxZm6+hALFonRLaVYwjZmfVIIHcTl932O3NgZL3lBAkkIKb5YtQus1lSmRVGqC1n01 4e5cxBogCvr7uD+cf6rKQz9edif3QMoJikryeqWSo+lEH43nLKXTEeA/dEmwUnQKK3HanQl8GaW4 azCsbtZPkDbdghZmThgyVtkwXYlFymg7Ln7IWZbw0WAjDz849gF7NirQ3BVSApU+NTOTNjqO3R7B /tfuP+f9izFwTop0q+FHatR1D9ALo0LQta+XNbgfg+HMfS/nErrWrpnM/7iezL76vFeCfsM0GjJq TIKnqZoFGkeVCUzpw3hQ4HFXGO3VpSpWGqPSMla7GnaIUX1qi9yv4qRbmReSg2nd5NzEEuNtLDfX 1OazksA1A1Wnv7QsVNpFNRwBAx9711qwFZ7Vh2VlrYn60TlTk6Z2TvZbK2Xx7vvb8zOePiaH0/n4 9rI7nP0UF7wOAq1lSaUTm45WROeNXOO/NINbMjyfUpQZ5p9ceIlp0JwDdwuYWv9wdVzE0Ri8vdvM 8WR95RmfiKGDMaKKPM4H94vl8G9x30ayWInclawPjaz/TRiU6de+MdfvJhUPvDVznNy123sYKjIK zASRD+P8dXOIV6sgFS6Kzxbr3HNDlfdZJHipo+uhdEGiutn1ZihjRYQZCdUImHQAfAo8Vh/lAEuk il8QLGfxGFH6biOSN0pjjDcDMgciZ7Oi3m3QaDqr8LsdlCptIr2PNWBOwwKwCKYg4sMxew+OC76y DrR/PL2dTCYjlF2Ywnwefm1HpaIwKk7yvdGgKoCi8StHVaDVY4MSmIzpK/kBy9xDnxc1DlTYk3va FdDIvMiyxiQE0qkhRnJU2r4K06AWeB1wsmIoxuE2lsYi+6DtkxdAldQwusreFZUX+RxI42Csljqz XB/GIdFV8fr79O+r9HX78+231tDLp8PzyRVjvEMVVpXCu73LA2MWXOPsz2kkSkTRYBGwfhEt5jVG iDQl9KhWtymODBsi2yVe81mzio6jW9/BQgYrY1zQ2QmXP1BHrMHK9OPtl7pBpldgfagLgR7OLX7l SohykPujt1/wULpXuf86/d4f8KAaOvTydt79s4M/duftp0+f3GrnmGqk2l4oY7cr1OyG2d9fSjhS LaBwD8UU/awGXDcRKENbM2gIHyFfrzUG9EixVpFhwzetKy9qXkNVxwaeD8LA8g8lzyAuiBV4uWhs Vqm4SGYGSh8+2GJ8Y8MGHImpUANvvP/ewA+r+HzkIV7Fus01S2onEcM6Kv8Hc3h+Ui0Z9zSVsgwx hKzJK3CUQfPpTZ1RnbnSywOhdzUCVBpo30oEDK1F6qe2JX48nZ+u0IjY4s6je9+5HvakChiwNMDB ays6+tQqWdx0pavuqaUuV2XZ0duQjc2xGyiBkR4PX8WlwGu/EpaGVSJhaaasHHrqcR3HQhgUfPBE 1wXESTF3nqOcDGzAzL8DEndEpo/qhApdbRdSFbiDlaWgrzryv24g7HfG6JfK3A+nT2dHgqmH7jPV a2VZdO6J6r8X/OpioavlcoRGQdtMGT8wVrjZPCDBeiEoCYpS+TBe+DA+zn09h8ARPavfR23gMLzH zM1bUgBKrswE6H2FgKmO+9P2b4+t3M2Genc6o2rARYu//r07Pj3vnJhqTIruu6BzpE35jCHY50IN ExvdaQqnhnBYs9QKIjrwhaQTfZ3Uz/eSgTsjDD2XwN4BKwcdGj1lbjk8n1p5PcbIxX1RJtE/qAYE 6HzLJsMNPs8H10h5B30RTJusk39mEzRaeykCXsMzDBwO5JNhrcveJxXZ6KbUxQkNQm/1FtP/AP+V 9gQspQEA --===============3465098501074062721==--